BİL264L/ELE263L Deney 2

BİL 264L/ELE 263L
TOBB Ekonomi ve Teknoloji Üniversitesi
Deney 2
DENEY 2
1) Aşağıda doğruluk tablosu verilen F çıkışını hem şematik olarak çiziniz hem de Verilog programlama
dili ile kapı seviyesinde kodlayınız. Tasarımlarınızın doğruluğunu testbench yazarak kontrol ediniz.
A
0
0
0
0
1
1
1
1
B
0
0
1
1
0
0
1
1
C
0
1
0
1
0
1
0
1
F
1
1
1
1
0
1
0
0
2) Aşağıda verilen F fonksiyonunu Verilog ile kapı seviyesinde tasarlayınız. Modülünüzün doğruluğunu
uygun bir testbench yazarak kontrol ediniz.
𝒇(𝑨, 𝑩, 𝑪, 𝑫) = ∑{𝟎, 𝟏, 𝟕, 𝟗, 𝟏𝟏, 𝟏𝟑, 𝟏𝟓} = 𝑭