Vivado Design Suite ユーザー ガイド : パーシャル リコンフィギュレー

Vivado Design Suite
ユーザー ガ イ ド
パーシ ャ ル
リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
Notice of Disclaimer
The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum
extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES
AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY,
NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including
negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with,
the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage
(including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such
damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct
any errors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify,
distribute, or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of the
Limited Warranties which can be viewed at http://www.xilinx.com/warranty.htm; IP cores may be subject to warranty and support terms
contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application
requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in Critical
Applications:http://www.xilinx.com/warranty.htm#critapps.
© Copyright 2012 – 2013 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands
included herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective
owners.
本資料は英語版 (v2013.4) を翻訳 し た も ので、 内容に相違が生 じ る 場合には原文を優先 し ます。
資料に よ っ ては英語版の更新に対応 し ていない も のがあ り ます。
日本語版は参考用 と し て ご使用の上、 最新情報につ き ま し ては、 必ず最新英語版を ご参照 く だ さ い。
こ の資料に関す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の問題につ き ま し ては、[email protected] ま でお知 ら せ く だ さ
い。 いただ き ま し た ご意見を参考に早急に対応 さ せていただ き ます。 なお、 こ の メ ール ア ド レ スへのお問い合わせは受け付け
てお り ません。 あ ら か じ めご了承 く だ さ い。
改訂履歴
次の表に、 こ の文書の改訂履歴を示 し ます。
日付
バージ ョ ン
改訂内容
2013 年 10 月 30 日
2013.3
初版
2013 年 12 月 18 日
2013.4
Vivado Design Suite 2013.4 リ リ ース に併せて変更 :
• 第 2 章の 「Vivado IDE での フ ロ アプ ラ ン」 にパーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
DRC の実行に関す る 情報を追加
• 第 3 章の 「 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの イ ン タ ーフ ェ イ ス」 セ ク シ ョ ン
を追加
• 第 3 章の 「 イ ンプ リ メ ン テーシ ョ ン要件の作成」 セ ク シ ョ ン を追加
• 第 2 章の 「コ ン フ ィ ギ ュ レーシ ョ ンの検証」 に、 pr_verify コ マ ン ド の新 し いオプ
シ ョ ンの説明を追加
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
2
目次
改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
第 1 章 : は じ めに
概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンについて . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
用語 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
設計に関す る 考慮事項 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
5
6
7
9
第 2 章 : Vivado ツ ール フ ロー
ツール フ ロ ーの概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン コ マン ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンの制約 と プ ロ パテ ィ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ツール フ ロ ー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Tcl ス ク リ プ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
12
13
18
24
28
第 3 章 : デザイ ン での考慮事項 と ガ イ ド ラ イ ン
概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デザ イ ン階層 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
グ ロ ーバル ク ロ ッ ク の規則 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
パーテ ィ シ ョ ン ピ ンの配置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ア ク テ ィ ブ Low の リ セ ッ ト と ク ロ ッ ク イ ネーブル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デカ ッ プ リ ン グ機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの Pblock のサ イ ズ と 形状 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ブラ ッ ク ボッ ク ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
イ ンプ リ メ ン テーシ ョ ン ス ト ラ テジ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デザ イ ン リ ビ ジ ョ ン チ ェ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
シ ミ ュ レーシ ョ ンお よ び検証 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
29
29
32
33
34
34
35
39
39
41
41
第 4 章 : FPGA デバイ スの コ ン フ ィ ギ ュ レ ーシ ョ ン
コ ン フ ィ ギ ュ レーシ ョ ンの概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コ ン フ ィ ギ ュ レーシ ョ ン モー ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
フル BIT フ ァ イ ルのダ ウ ン ロ ー ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
パーシ ャ ル BIT フ ァ イ ルのダ ウ ン ロ ー ド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
FPGA デバ イ ス を コ ン フ ィ ギ ュ レーシ ョ ンす る シ ス テ ム デザ イ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
パーシ ャ ル ビ ッ ト ス ト リ ームの CRC チ ェ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コ ン フ ィ ギ ュ レーシ ョ ン時間 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コ ン フ ィ ギ ュ レーシ ョ ン デバ ッ グ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
42
43
44
45
46
47
47
48
第 5 章 : 既知の問題および制限
既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50
既知の制限 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
3
付録 A : その他の リ ソ ース
ザ イ リ ン ク ス リ ソ ース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
ソ リ ュ ーシ ョ ン セ ン タ ー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
4
第 1章
は じ めに
概要
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンは、ア ク テ ィ ブなデザ イ ン内でモジ ュ ールを動的に変更す る こ と を可能に し ま
す。 こ の フ ロ ーでは、 複数の コ ン フ ィ ギ ュ レーシ ョ ンの イ ンプ リ メ ン テーシ ョ ン (各 コ ン フ ィ ギ ュ レーシ ョ ンの フル
ビ ッ ト ス ト リ ーム) と 、 各 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールのパーシ ャ ル ビ ッ ト ス ト リ ームが必要です。
必要な コ ン フ ィ ギ ュ レーシ ョ ンの数は イ ンプ リ メ ン ト する 必要のあ る モジ ュ ールの数に よ っ て異な り ますが、 すべて
の コ ン フ ィ ギ ュ レーシ ョ ンで同 じ 最上位 (ス タ テ ィ ッ ク ) の配置配線結果が使用 さ れます。こ れ ら の ス タ テ ィ ッ ク の結
果は初期コ ン フ ィ ギ ュ レーシ ョ ンか ら エ ク ス ポー ト さ れ、 その後のすべての コ ン フ ィ ギ ュ レーシ ョ ンにチ ェ ッ ク ポ イ
ン ト を使用 し て イ ンポー ト さ れます。
次の点に注意 し て く だ さ い。
•
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン可能な FPGA デザ イ ン を作成す る 設計者を対象 と し てい ます。
•
FPGA 設計 ソ フ ト ウ ェ ア、 特にザ イ リ ン ク ス Vivado® Design Suite を使い慣れてい る こ と を前提 と し てい ます。
•
Vivado Design Suite 2013.4 用に記述 さ れてい ます。 こ の リ リ ース では、 Virtex®-7、 Kintex®-7、 お よ び Zynq®-7000
AP SoC デバ イ ス でのパーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンがサポー ト さ れてい ます。
•
Vivado ツールセ ッ ト で イ ンプ リ メ ン ト さ れ る パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンについて説明 し ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
5
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンについて
パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン について
FPGA テ ク ノ ロ ジには、 デザ イ ン を変更す る 場合で も 、 再製造せずにオンサ イ ト でプ ロ グ ラ ミ ン グお よ び再プ ロ グ ラ
ミ ン グで き る 柔軟性があ り ます。 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン (PR) は こ の柔軟性を さ ら に一歩進め、 動作
中の FPGA デザ イ ン をパーシ ャ ル コ ン フ ィ ギ ュ レーシ ョ ン フ ァ イ ル (パーシ ャ ル BIT フ ァ イ ル) を読み込む こ と に よ
り 変更で き る よ う に し ます。 フル BIT フ ァ イ ルで FPGA を コ ン フ ィ ギ ュ レーシ ョ ン し た後、 パーシ ャ ル BIT フ ァ イ ル
を ダ ウ ン ロ ー ド し て FPGA の リ コ ン フ ィ ギ ャ ラ ブル領域を変更 し ます。 こ の際、 リ コ ン フ ィ ギ ュ レーシ ョ ン さ れない
領域で実行 さ れてい る アプ リ ケーシ ョ ンが影響を受け る こ と はあ り ません。
図 1-1 に、 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンの基本的な概念を示 し ます。
X-Ref Target - Figure 1-1
FPGA
A4.bit
A3.bit
A2.bit
A1.bit
Reconfig
Block “A”
X12001
図 1-1 : パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンの基本的な概念
こ の図に示す よ う に、 Reconfig Block A に イ ンプ リ メ ン ト さ れた フ ァ ン ク シ ョ ンは、 A1.bit、 A2.bit、 A3.bit、 ま
たは A4.bit のいずれかのパーシ ャ ル BIT フ ァ イ ルを ダ ウ ン ロ ー ド す る こ と に よ り 変更で き ます。 FPGA デザ イ ンの
ロ ジ ッ ク は、 リ コ ン フ ィ ギ ャ ラ ブル ロ ジ ッ ク と ス タ テ ィ ッ ク ロ ジ ッ ク の 2 種類に分類で き ます。 FPGA ブ ロ ッ ク の
灰色の部分はス タ テ ィ ッ ク ロ ジ ッ ク を表 し 、 Reconfig Block "A" と 示 さ れた部分は リ コ ン フ ィ ギ ャ ラ ブル ロ ジ ッ ク を
表 し ます。 ス タ テ ィ ッ ク ロ ジ ッ ク は動作 し 続け、 パーシ ャ ル BIT フ ァ イ ルの読み込みの影響は受け ません。 リ コ ン
フ ィ ギ ャ ラ ブル ロ ジ ッ ク は、 パーシ ャ ル BIT フ ァ イ ルの内容に置 き 換え ら れます。
1 つの FPGA デバ イ ス でハー ド ウ ェ ア を動的に時分割で き る 機能には、 多数の利点があ り ます。 その一部を次に示 し
ます。
•
特定の フ ァ ン ク シ ョ ン を イ ンプ リ メ ン ト する のに必要な FPGA デバ イ ス のサ イ ズ を削減 し 、 コ ス ト お よ び消費電
力を削減
•
アプ リ ケーシ ョ ンで使用可能な アルゴ リ ズ ム ま たはプ ロ ト コ ルの選択肢が広が る
•
デザ イ ン セキ ュ リ テ ィ の新 し い技術を使用可能
•
FPGA の フ ォール ト ト レ ラ ン ス を向上
•
再構成可能コ ン ピ ュ ーテ ィ ン グ を促進
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンは、 サ イ ズ、 重量、 消費電力、 コ ス ト を削減す る のに加え、 新 し い タ イ プの
FPGA デザ イ ン を可能に し ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
6
用語
用語
次の用語はパーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン機能に特定の用語であ り 、 こ のガ イ ド で使用 さ れます。
ボ ト ムア ッ プ合成
1 つま たは複数のプ ロ ジ ェ ク ト で、 デザ イ ン を モジ ュ ールご と に合成す る 手法。 ボ ト ム ア ッ プ合成では、 各パーテ ィ
シ ョ ン用に記述 さ れた個別のネ ッ ト リ ス ト が必要で、 境界を越え る 最適化は実行 さ れず、 デザ イ ンの各部分が個別に
合成 さ れます。 最上位 ロ ジ ッ ク では、 パーテ ィ シ ョ ン を ブ ラ ッ ク ボ ッ ク ス と し て合成す る 必要があ り ます。
コ ン フ ィ ギ ュ レーシ ョ ン
各 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン に対 し て 1 つの リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールを持つ完全なデザ イ ン。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン FPGA プ ロ ジ ェ ク ト には、 複数の コ ン フ ィ ギ ュ レ ーシ ョ ンが あ り ます。 各 コ
ン フ ィ ギ ュ レーシ ョ ンに よ り フル BIT フ ァ イ ル 1 つ と 、 各 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールにパーシ ャ ル BIT フ ァ
イ ルが 1 つずつ生成 さ れます。
コ ン フ ィ ギ ュ レーシ ョ ン フ レーム
FPGA コ ン フ ィ ギ ュ レ ーシ ョ ン メ モ リ 空間のア ド レ ス指定可能な最小セグ メ ン ト 。 リ コ ン フ ィ ギ ャ ラ ブル フ レーム
は、 こ れ ら の最下位エ レ メ ン ト か ら 構成 さ れます。 7 シ リ ーズ デバ イ ス では、 基本 リ コ ン フ ィ ギ ャ ラ ブル フ レームは
幅が 1 エ レ メ ン ト (CLB、 BRAM、 DSP)、 高 さ が 1 ク ロ ッ ク 領域です。
内部 コ ン フ ィ ギ ュ レーシ ョ ン ア ク セ ス ポー ト (ICAP)
SelectMAP イ ン タ ーフ ェ イ ス の内部バージ ョ ン。 詳細は、 『7 シ リ ーズ FPGA コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ
ド 』 (UG470) [参照 1] を参照 し て く だ さ い。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン (PR)
パーシ ャ ル ビ ッ ト ス ト リ ーム を ダ ウ ン ロ ー ド す る こ と に よ り 動作中の FPGA デザ イ ンの ロ ジ ッ ク のサブセ ッ ト を変
更する こ と 。
パーテ ィ シ ョ ン
デザ イ ンの再利用のために階層境界で定義 さ れたデザ イ ンの論理セ ク シ ョ ン。 新 し く イ ンプ リ メ ン ト す る か、 以前の
イ ンプ リ メ ン テーシ ョ ン を保持 し ます。 保持 さ れたパーテ ィ シ ョ ンは、 機能が同一であ る だけでな く 、 イ ンプ リ メ ン
テーシ ョ ン も 同一です。
パーテ ィ シ ョ ン ピ ン
ス タ テ ィ ッ ク ロ ジ ッ ク と リ コ ン フ ィ ギ ャ ラ ブル ロ ジ ッ ク の間の論理お よ び物理接続。 すべての リ コ ン フ ィ ギ ャ ラ ブ
ル パーテ ィ シ ョ ン ポー ト に対 し て自動的に作成 さ れます。
プ ロ セ ッ サ コ ン フ ィ ギ ュ レーシ ョ ン ア ク セス ポー ト (PCAP)
Zynq-7000 デバ イ ス を コ ン フ ィ ギ ュ レ ーシ ョ ンす る 場合に使用可能な ICAP の代替ポー ト 。 詳細は、 『Zynq-7000 All
Programmable SoC テ ク ニ カル リ フ ァ レ ン ス マニ ュ アル』 (UG585) [参照 2] を参照 し て く だ さ い。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
7
用語
リ コ ン フ ィ ギ ャ ラ ブル フ レーム
FPGA デバ イ ス内で リ コ ン フ ィ ギ ュ レーシ ョ ン可能な最小領域。 リ コ ン フ ィ ギ ャ ラ ブル フ レームの ビ ッ ト ス ト リ ーム
サ イ ズは、 フ レームに含まれ る ロ ジ ッ ク の タ イ プに よ っ て異な り ます。
リ コ ン フ ィ ギ ャ ラ ブル ロ ジ ッ ク
リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの一部であ る 論理エ レ メ ン ト 。 パーシ ャ ル BIT フ ァ イ ルを読み込む と 、 こ れ ら の
論理エ レ メ ン ト が変更 さ れ ます。 LUT、 フ リ ッ プ フ ロ ッ プ、 ブ ロ ッ ク RAM、 DSP ブ ロ ッ ク な ど、 さ ま ざ ま な タ イ プ
の論理コ ンポーネ ン ト を リ コ ン フ ィ ギ ュ レーシ ョ ンで き ます。
リ コ ン フ ィ ギ ャ ラ ブル モ ジ ュ ール (RM)
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン に イ ン プ リ メ ン ト さ れ る ネ ッ ト リ ス ト ま たは HDL 記述。 1 つの リ コ ン フ ィ
ギ ャ ラ ブル パーテ ィ シ ョ ンに対 し て複数の リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールが存在 し ます。
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン (RP)
イ ン ス タ ン ス を リ コ ン フ ィ ギ ャ ラ ブル と 定義する 属性セ ッ ト 。異な る リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールが イ ンプ リ メ
ン ト さ れ る 階層レ ベルです。 opt_design、 place_design、 route_design な ど の Tcl コ マ ン ド では、 イ ン ス タ
ン ス に設定 さ れてい る HD.RECONFIGURABLE プ ロ パテ ィ が検出 さ れ、 正 し く 処理 さ れます。
ス タ テ ィ ッ ク ロジ ッ ク
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンに含まれない論理エ レ メ ン ト 。ス タ テ ィ ッ ク ロ ジ ッ ク は、 リ コ ン フ ィ ギ ュ レー
シ ョ ン さ れ る こ と はな く 、リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンが リ コ ン フ ィ ギ ュ レーシ ョ ン さ れてい る 間 も 常にア
ク テ ィ ブです。 最上位 ロ ジ ッ ク と も 呼ばれます。
ス タ テ ィ ッ ク デザイ ン
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン中に変更 さ れないデザ イ ン部分。 最上位モジ ュ ール と 、 リ コ ン フ ィ ギ ャ ラ ブル
と 定義 さ れていないすべてのモジ ュ ールを含みます。ス タ テ ィ ッ ク デザ イ ンは、ス タ テ ィ ッ ク ロ ジ ッ ク と ス タ テ ィ ッ
ク 配線で構築 さ れます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
8
設計に関する考慮事項
設計に関する考慮事項
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン (PR) は、 Vivado Design Suite のア ド バン ス フ ロ ーです。 PR プ ロ ジ ェ ク ト を開
始する 前に、 次の要件お よ びガ イ ド ラ イ ン を理解 し てお く 必要があ り ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンの要件 と ガ イ ド ラ イ ン
•
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンには、 Vivado 2013.3 以降を使用する 必要があ り ます。
°
•
ISE Design Suite で も サポー ト さ れてい ます。 詳細は、 『パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ
イ ド 』 (UG702) [参照 3] を参照 し て く だ さ い。
デバ イ ス サポー ト : Kintex-7、 Virtex-7 T お よ び XT (7V2000T お よ び 7VX1140T を含む)、 4 つの Zynq AP SoC デ
バ イ ス (7Z045、 7Z030、 7Z020、 7Z010)
°
Artix-7、 Virtex-7 HT、 お よ び残 り の Zynq デバ イ スはサポー ト さ れてい ません。 こ れ ら のデバ イ スは、 今後
の ツール リ リ ース でサポー ト さ れ る 予定です。
•
PR は Tcl ま たは コ マン ド ラ イ ンのみでサポー ト さ れます。 現時点ではプ ロ ジ ェ ク ト フ ロ ーのサポー ト はあ り ま
せん。
•
リ コ ン フ ィ ギ ャ ラ ブル領域を定義する には、 エ レ メ ン ト タ イ プご と に フ ロ アプ ラ ンが必要です。
•
°
最 も 効率的に し 、 RESET_AFTER_RECONFIG 機能を使用す る には、 フ レームの高 さ と ク ロ ッ ク 領域の境界
が揃っ てい る 必要があ り ます。
°
幅に関する ルール も あ り ます。 詳細は、 第 2 章の 「 リ コ ン フ ィ ギ ャ ラ ブル領域の フ ロ アプ ラ ン を作成」 を参
照 し て く だ さ い。
ボ ト ム ア ッ プ合成 (複数のネ ッ ト リ ス ト フ ァ イ ルを作成) お よ び リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール ネ ッ ト リ ス
ト フ ァ イ ルの管理は、 ユーザーの責任で行っ て く だ さ い。
°
任意の合成ツールを使用で き ます。 リ コ ン フ ィ ギ ュ レーシ ョ ン モジ ュ ール ネ ッ ト リ ス ト を作成す る 際は、
I/O の挿入をデ ィ ス エーブルに し ます。
°
Vivado 合成では、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの合成に OOC (Out-Of-Context) モジ ュ ール解析フ ロ ー
を使用 し ます。
•
標準の タ イ ミ ン グ制約がサポー ト さ れ、 必要に応 じ て追加の タ イ ミ ン グ バジ ェ ッ ト 機能 も 使用で き ます。
•
デザ イ ン を完成 さ せる ためのガ イ ド と な る 専用のデザ イ ン ルール チ ェ ッ ク (DRC) が用意 さ れてい ます。
•
PR デザ イ ンでは、 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンの開始 と 共に、 FPGA 内ま たはシ ス テ ム デザ イ ンの一
部 と し てパーシ ャ ル BIT フ ァ イ ルの配布 も 考慮する 必要があ り ます。
•
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンには、そのパーテ ィ シ ョ ンに イ ンプ リ メ ン ト さ れ る さ ま ざ ま な リ コ ン フ ィ
ギ ャ ラ ブル モジ ュ ールで使用 さ れ る すべての ピ ン を含め る 必要があ り ます。モジ ュ ールに よ っ て一部の入力ま た
は出力が未使用にな り ますが、 PR ソ リ ュ ーシ ョ ンの柔軟性に組み込まれ ます。 未使用の入力はモジ ュ ール内で
接続 さ れない ま ま にな り ます。 こ れが問題 と な る 場合は、 出力を定数に駆動 し ます。
°
update_design –black_box を実行 し た後のブ ラ ッ ク ボ ッ ク ス RM ( ロ ジ ッ ク な し ) の場合は、 パーテ ィ
シ ョ ン ピ ン出力はすべて駆動 さ れません。 こ のブ ラ ッ ク ボ ッ ク ス モジ ュ ールを使用 し て ビ ッ ト ス ト リ ーム
を作成する 場合は、ブ ラ ッ ク ボ ッ ク ス をデバ イ ス に読み込む と き に こ の RP のデカ ッ プ リ ン グ ロ ジ ッ ク を ア
ク テ ィ ブの ま ま に し てお く こ と をお勧め し ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
9
設計に関する考慮事項
デザイ ン パフ ォ ーマ ン ス
パフ ォーマ ン ス の評価基準はデザ イ ンに よ っ て異な り 、 『階層デザ イ ン設計手法ガ イ ド 』 (UG748) [参照 4] お よ び 『再
現可能な結果を活用 し たデザ イ ン の保持』 (WP362) [参照 5] の階層デザ イ ン設計手法に従 う こ と に よ り 最高の結果を
得る こ と がで き ます。こ れ ら の資料は ISE Design Suite 用に作成 さ れた も のですが、その設計手法は Vivado Design Suite
に も 適用 さ れます。
ただ し 、 シ リ コ ンの分離に必要な追加の制限事項があ り 、 ほ と んど のデザ イ ンに影響 し ます。 配線の格納、 排他配置、
リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの境界を越え た最適化を実行 し ないな ど のパーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
規則を適用する と 、 PR デザ イ ンの全体的な集積度お よ びパフ ォーマン スは同等の フ ラ ッ ト デザ イ ン よ り 低 く な り ま
す。 PR デザ イ ンの全体的なデザ イ ン パフ ォーマ ン スは、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの数、 こ れ ら のパー
テ ィ シ ョ ンへの イ ン タ ーフ ェ イ ス ピ ンの数、 Pblock のサ イ ズお よ び形状な ど の要素に よ っ て異な り ます。
PR デザイ ンの条件
•
コ ンポーネ ン ト タ イ プに よ っ て、 リ コ ン フ ィ ギ ュ レーシ ョ ン可能な も の と そ う でない も のがあ り ます。
°
リ コ ン フ ィ ギ ュ レーシ ョ ン可能な リ ソ ース には、 CLB、 ブ ロ ッ ク RAM、 DSP コ ンポーネ ン ト や、 配線 リ ソ ー
ス があ り ます。
°
ク ロ ッ ク お よ び ク ロ ッ ク 調整ブ ロ ッ ク は リ コ ン フ ィ ギ ュ レーシ ョ ン不可能なので、 ス タ テ ィ ッ ク 領域に配置
する 必要があ り ます。
-
°
BUFG、 BUFR、 MMCM、 PLL な ど の コ ンポーネ ン ト
次の コ ン ポーネ ン ト は リ コ ン フ ィ ギ ュ レ ーシ ョ ン不可能なので、 ス タ テ ィ ッ ク 領域に配置す る 必要があ り
ます。
-
I/O お よ び I/O 関連の コ ンポーネ ン ト (ISERDES、 OSERDES、 IDELAYCTRL な ど)
-
シ リ アル ト ラ ン シーバー (MGT) お よ び関連の コ ン ポーネ ン ト
-
アーキテ ク チ ャ 機能コ ンポーネ ン ト (BSCAN、 STARTUP、 XADC な ど)
•
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンへのグ ロ ーバル ク ロ ッ ク リ ソ ースは、 デバ イ スお よ び こ れ ら の リ コ ン
フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンで使用 さ れ る ク ロ ッ ク 領域に よ っ て制限 さ れます。
•
IP を イ ンプ リ メ ン ト す る のに使用 さ れ る コ ン ポーネ ン ト に よ っ て、IP の制限が発生する 場合 も あ り ます。次に例
を示 し ます。
°
Vivado デバ ッ グ ハブ (BSCAN お よ び BUFG)
°
エンベデ ッ ド グ ロ ーバル バ ッ フ ァ ーま たは I/O を含む IP モジ ュ ール
°
MIG コ ン ト ロ ー ラ ー (MMCM)
•
リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールは、リ コ ン フ ィ ギ ュ レーシ ョ ン後に既知の状態か ら 開始す る よ う 初期化す る 必
要があ り ます。 こ れには、 ロ ーカル リ セ ッ ト を使用す る か、 RESET_AFTER_RECONFIG 機能を選択 し て専用の
GSR イ ベン ト を使用 し ます。
•
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン中に リ コ ン フ ィ ギ ャ ラ ブル領域 と ス タ テ ィ ッ ク 部分の接続を解除す る に
は、 デカ ッ プ リ ン グ ロ ジ ッ ク をお勧め し ます。
°
リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールへの ク ロ ッ ク お よ びその他の入力をデカ ッ プル し 、 リ コ ン フ ィ ギ ュ レー
シ ョ ン中に メ モ リ に不正な書 き 込みが実行 さ れ る の を回避で き ま す。 こ れは、 RESET_AFTER_RECONFIG
を使用 し ない場合に考慮する 必要があ り ます。
•
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンはフ ロ アプ ラ ンする 必要があ る ので、 モジ ュ ールは Pblock に収ま る ブ
ロ ッ ク で、 タ イ ミ ン グ を満たす必要があ り ます。 モジ ュ ールが完全であれば、 こ のデザ イ ン を PR でない通常の
フ ロ ーで実行 し 、 配置、 配線、 お よ び タ イ ミ ン グ結果の初期評価を実行す る こ と をお勧め し ます。 通常の フ ロ ー
で問題が発生する 場合は、 PR フ ロ ーに移行す る 前に解決 し てお く 必要があ り ます。
•
RP 上の各モジ ュ ール ピ ンにはパーテ ィ シ ョ ン ピ ンがあ り ます。 こ れが ス タ テ ィ ッ ク ロ ジ ッ ク を RP に接続す る
ポ イ ン ト と な り ます。 使用可能な配線 リ ソ ース の数に対 し てパーテ ィ シ ョ ン ピ ンの数が多すぎ る と 、配線密集の
原因 と な る 可能性があ り ます。 RP 上の外部ピ ンの数を考慮 し 、 必要な ピ ン数が最小のモジ ュ ールを選択 し ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
10
設計に関する考慮事項
•
Virtex-7 SSI デバ イ ス (7V2000T、 7VX1140T) には、 基本的な要件があ り ます。 こ れ ら の要件は次の と お り です。
こ れ ら は、 Virtex-7 HT デバ イ ス がサポー ト さ れ る よ う にな っ た と き に こ のデバ イ ス に も 適用 さ れます。
°
°
リ コ ン フ ィ ギ ャ ラ ブル領域は、 完全に 1 つの SLR に含まれてい る 必要があ り ます。 こ れに よ り 、 グ ロ ーバ
ル リ セ ッ ト イ ベン ト が リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール内のすべてのエ レ メ ン ト で正 し く 同期す る よ う に
な り 、 すべての SLL (Super Long Line) がデザ イ ンの ス タ テ ィ ッ ク 部分に含まれます。 SLL はパーシ ャ ル リ コ
ン フ ィ ギ ュ レーシ ョ ンで き ません。
パーシ ャ ル ビ ッ ト ス ト リ ームの送信に ICAP を使用す る 場合、 マ ス タ ー SLR ( こ れ ら のデバ イ ス では SLR1)
に配置 さ れてい る ICAP を使用する 必要があ り ます。 ICAP が ICAP_X0Y2 ま たは ICAP_X0Y3 ロ ケーシ ョ ン
のみに配置 さ れ る よ う ロ ケーシ ョ ン制約を設定 し ます。 4 つの SLR を介す る 標準デ イ ジー チ ェ ーン が保持
さ れ る よ う な ビ ッ ト ス ト リ ーム フ ォーマ ッ ト を使用 し ます。 その他の SLR にあ る ICAP は使用 し ないで く
だ さ い。 こ れは、 リ コ ン フ ィ ギ ャ ラ ブル領域がその SLR に配置 さ れてい る 場合で も 同 じ です。
•
パーシ ャ ル ビ ッ ト ス ト リ ームの専用暗号化は、 今後の Vivado リ リ ース で 7 シ リ ーズ デバ イ ス に対 し てネ イ テ ィ
ブにサポー ト さ れ る 予定です。
•
7 シ リ ーズ デバ イ ス ではフ レーム ご と の CRC チ ェ ッ ク 機構を write_bitstream を使用 し て イ ネーブルにで
き 、 各フ レーム を読み込む前に検証で き ます。
注記 : こ の機能は、 今後の Vivado リ リ ース でサポー ト さ れ る 予定です。
パーシ ャ ル リ コ ン フ ィ ギ ュ レ ーシ ョ ンはザ イ リ ン ク ス FPGA の高度な機能であ り 、 シ リ コ ンお よ びツールの性能を
理解 し てお く こ と が成功の鍵 と な り ます。 開発プ ロ セ ス では ト レー ド オ フ を理解 し 、 考慮する 必要があ り ますが、 最
終的には FPGA デザ イ ンの よ り 柔軟性な イ ンプ リ メ ン テーシ ョ ン を達成す る こ と がで き ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
11
第 2章
Vivado ツール フ ロー
ツール フ ローの概要
Vivado® パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン フ ロ ーは、標準デザ イ ン フ ロー と は異な る 点がい く つかあ り ます。イ
ンプ リ メ ン テーシ ョ ン ツールで低水準の詳細が管理 さ れ、 シ リ コ ンの要件が満た さ れます。 ユーザーが、 デザ イ ンの
構造 と フ ロ アプ ラ ン を定義する 必要があ り ます。 PR デザ イ ンの処理手順は、 次の と お り です。
1.
ス タ テ ィ ッ ク モジ ュ ール と リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールを別々に合成 し ます。
2.
物理制約 (Pblock) を作成 し て リ コ ン フ ィ ギ ャ ラ ブル領域を定義 し ます。
3.
各 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンに HD.RECONFIGURABLE プ ロ パテ ィ を設定 し ます。
4.
完全なデザ イ ン (ス タ テ ィ ッ ク モジ ュ ール と 、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン ご と に 1 つの リ コ ン フ ィ
ギ ャ ラ ブル モジ ュ ール) を コ ン テキ ス ト で イ ンプ リ メ ン ト し ます。
5.
完全に配線済みのデザ イ ンのデザ イ ン チ ェ ッ ク ポ イ ン ト を保存 し ます。
6.
こ のデザ イ ンか ら リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールを削除 し 、 ス タ テ ィ ッ ク 部分のみのデザ イ ン チ ェ ッ ク ポ イ
ン ト を保存 し ます。
7.
ス タ テ ィ ッ ク 部分の配置 と 配線を固定 し ます。
8.
ス タ テ ィ ッ ク デザ イ ンに新 し い リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールを追加 し 、 新 し い コ ン フ ィ ギ ュ レーシ ョ ン を
イ ンプ リ メ ン ト し ます。
9.
すべての リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールが イ ンプ リ メ ン ト さ れ る ま で手順 8 を繰 り 返 し ます。
10. すべての コ ン フ ィ ギ ュ レーシ ョ ンに対 し て検証ユーテ ィ リ テ ィ (pr_verify) を実行 し ます。
11. 各コ ン フ ィ ギ ュ レーシ ョ ンの ビ ッ ト ス ト リ ーム を作成 し ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
12
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン コ マ ン ド
パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ン コ マ ン ド
PR フ ロ ーは、 現在の と こ ろ、 非プ ロ ジ ェ ク ト バ ッ チ モー ド /Tcl イ ン タ ーフ ェ イ ス (非プ ロ ジ ェ ク ト ベース の コ マン
ド ) でのみサポー ト さ れてい ます。 ス ク リ プ ト 例お よ び こ の フ ロ ーの設定手順は、 『Vivado Design Suite チ ュ ー ト リ ア
ル : パーシ ャ ル リ コ ン フ ィ ギ ュ レ ーシ ョ ン』 (UG947) [参照 6] に記載 さ れてい ます。 詳細は、 こ のチ ュ ー ト リ アルを
参照 し て く だ さ い。
次のセ ク シ ョ ンでは、 PR フ ロ ーに必要な特定の コ マ ン ド お よ びオプシ ョ ンについて説明 し ます。 PR フ ロ ーを実行す
る ための こ れ ら の コ マ ン ド の使用例 も 示 し ます。 各コ マ ン ド の詳細は、 『Vivado Design Suite Tcl コ マン ド リ フ ァ レ ン
ス ガ イ ド 』 (UG835) [参照 7] を参照 し て く だ さ い。
合成
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン可能なデザ イ ン を合成す る のに、 特別な コ マ ン ド は必要あ り ませんが、 ボ ト ム
ア ッ プ合成を実行する 必要があ り ます。 現在の と こ ろ、 合成、 最適化、 ま たは イ ンプ リ メ ン テーシ ョ ンでサポー ト さ
れない コ マ ン ド はあ り ません。
次の合成ツールがサポー ト さ れてい ます。
•
XST
•
Synplify
•
Vivado 合成
重要 : ボ ト ム ア ッ プ合成は、各モジ ュ ールにそれぞれ合成プ ロ ジ ェ ク ト があ る 合成フ ロ ーです。通常は、下位モジ ュ ー
ルの自動 I/O バ ッ フ ァ ー挿入を オ フ に し ます。
こ のガ イ ド では、 Vivado 合成 フ ロ ーのみについて説明 し ま す。 その他の フ ロ ーについては、 『XST ユーザー ガ イ ド
(Virtex-6、Spartan-6、7 シ リ ーズ デバ イ ス用)』 (UG687) [参照 8] ま たは Synopsys 社 Synplify の資料を参照 し て く だ さ い。
最上位モ ジ ュ ールの合成
各 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール (RM) のブ ラ ッ ク ボ ッ ク ス を含む最上位ネ ッ ト リ ス ト が必要です。 こ れには、 最
上位合成にパーテ ィ シ ョ ン イ ン ス タ ン ス のモ ジ ュ ール/エ ン テ ィ テ ィ 宣言 を 含め、 ロ ジ ッ ク が含 ま れない よ う (モ
ジ ュ ールは空) にす る 必要があ り ます。
最上位合成では、 すべての最上位ポー ト に I/O バ ッ フ ァ ーを推論 ま たは イ ン ス タ ン シエー ト さ れ ま す。 リ コ ン フ ィ
ギ ャ ラ ブル モジ ュ ール内に I/O ロ ジ ッ ク を配置する こ と はサポー ト さ れてい ません。バ ッ フ ァ ー挿入の制御について
は、 『Vivado Design Suite ユーザー ガ イ ド : 合成』 (UG901) [参照 9] を参照 し て く だ さ い。
synth_design -flatten_hierarchy rebuilt -top <top_module_name> -part <part>
リ コ ン フ ィ ギ ャ ラ ブル モ ジ ュ ールの合成
各 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールを ス タ テ ィ ッ ク デザ イ ンの同 じ ブ ラ ッ ク ボ ッ ク ス に イ ン ス タ ン シエー ト す る 必
要があ る ので、 それ ら のモジ ュ ールの イ ン タ ーフ ェ イ ス が同一であ る 必要があ り ます。 ブ ロ ッ ク の名前 も 各 イ ン ス タ
ン ス ですべて同 じ に し 、 イ ン タ ーフ ェ イ ス のプ ロ パテ ィ も すべて同一にす る 必要があ り ます。 デザ イ ンの各 コ ン フ ィ
ギ ュ レーシ ョ ンは、 フ ラ ッ ト デザ イ ンの よ う にアセンブル さ れます。
リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールを合成す る には、 すべてのバ ッ フ ァ ー挿入を オ フ にす る 必要があ り ます。 こ れに
は、 synth_design コ マ ン ド を -mode out_of_context オプシ ョ ン を使用 し て実行 し ます。
synth_design -mode out_of_context -flatten_hierarchy rebuilt -top
<reconfig_module_name> -part <part>
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
13
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン コ マ ン ド
表 2-1 : synth_design コ マ ン ド のオプ シ ョ ン
コ マ ン ド オプ シ ョ ン
説明
-mode out_of_context
合成お よ びダ ウ ン ス ト リ ー ム ツ ールの I/O 挿入が オ フ に な り ま す。
out_of_context モー ド は、write_checkpoint を実行 し た と き に
チ ェ ッ ク ポ イ ン ト に保存 さ れます。
-flatten_hierarchy rebuilt
-flatten_hierarchy に使用で き る 値は複数あ り ますが、PR フ ロ ー
では rebuilt が推奨 さ れます。
-top
合成 さ れ る モジ ュ ールのモジ ュ ール/エン テ ィ テ ィ 名を指定 し ます。
-part
ターゲ ッ ト にす る ザ イ リ ン ク ス パーツ (例 : xc7k325tffg900-3) を
指定 し ます。
synth_design コ マ ン ド は、 デザ イ ン を合成 し て、 その結果を メ モ リ に格納 し ま す。 結果を フ ァ イ ルに書 き 出すに
は、 次の コ マ ン ド を使用 し ます。
write_checkpoint <file_name>.dcp
合成後に メ モ リ 内のデザ イ ン を閉 じ 、 イ ンプ リ メ ン テーシ ョ ン を合成 と は別に実行する こ と をお勧め し ます。
デザイ ン モ ジ ュ ールの読み込み
メ モ リ にデザ イ ンが読み込まれていない場合は、デザ イ ン を読み込む必要があ り ます。 ス タ テ ィ ッ ク デザ イ ンで も リ
コ ン フ ィ ギ ャ ラ ブル モジ ュ ールで も 、 こ れにはい く つかの方法があ り ます。 コ ン フ ィ ギ ュ レーシ ョ ン を イ ンプ リ メ ン
ト し た後は、 チ ェ ッ ク ポ イ ン ト を使用 し て配置配線済みモジ ュ ール デー タ ベース を読み込みます。
方法 1 : ネ ッ ト リ ス ト デザイ ンの読み込み
こ の方法は、 Vivado 合成以外の ツールでモジ ュ ールを合成 し てい る 場合に使用 し ます。
read_edif <top>.edf/edn/ngc
read_edif <rp1_a>.edf/edn/ngc
read_edif <rp2_a>.edf/edn/ngc
link_design -top <top_module_name> -part <part>
表 2-2 : link_design コ マ ン ド のオプ シ ョ ン
コ マ ン ド オプ シ ョ ン
説明
-part
ターゲ ッ ト にす る ザ イ リ ン ク ス パーツ (例 : xc7k325tffg900-3) を
指定 し ます。
-top
イ ン プ リ メ ン ト さ れ る モ ジ ュ ールのモジ ュ ール/エ ン テ ィ テ ィ 名を 指
定 し ます。 link_design の前に set_property -top
<top_module_name> [current_fileset] が 実行 さ れ て い る 場
合、 こ のオプシ ョ ン を使用する 必要はあ り ません。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
14
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン コ マ ン ド
方法 2 : チ ェ ッ ク ポ イ ン ト を開 く /読み込む
ス タ テ ィ ッ ク (最上位) デザ イ ン の合成ま たは イ ン プ リ メ ン テーシ ョ ン結果がチ ェ ッ ク ポ イ ン ト と し て保存 さ れてい
る 場合は、 open_checkpoint コ マ ン ド を使用 し て読み込む こ と がで き ます。 こ の コ マ ン ド は ス タ テ ィ ッ ク デザ イ
ン チ ェ ッ ク ポ イ ン ト を読み込み、 ア ク テ ィ ブ メ モ リ で開 き ます。
open_checkpoint <file>
チ ェ ッ ク ポ イ ン ト が ス タ テ ィ ッ ク の も のではな く リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの も のであ る 場合、イ ン ス タ ン ス
名を read_checkpoint -cell を使用 し て指定する 必要があ り ます。チ ェ ッ ク ポ イ ン ト が イ ンプ リ メ ン テーシ ョ ン
後のチ ェ ッ ク ポ イ ン ト であ る 場合は、 -strict オプシ ョ ン も 使用す る 必要が あ り ます。 こ のオプシ ョ ンは、 合成後
のチ ェ ッ ク ポ イ ン ト で使用 し て、 ポー ト が確実に一致す る よ う にす る こ と も で き ま す。 リ コ ン フ ィ ギ ャ ラ ブル モ
ジ ュ ールのチ ェ ッ ク ポ イ ン ト を読み込むには、 指定のセルのブ ラ ッ ク ボ ッ ク ス が含まれてい る 最上位デザ イ ンが開い
てい る 必要があ り ます。 その後、 次の コ マ ン ド を実行 し ます。
read_checkpoint -cell <cellname > <file> [-strict]
表 2-3 : read_checkpoint オプ シ ョ ン
オプ シ ョ ン名
説明
-cell
リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの完全な階層名を指定 し ます。
-strict
セルを置 き 換え る のにポー ト が完全に一致 し てい る 必要があ り 、 パー
ツ、パ ッ ケージ、ス ピー ド グ レー ド 値が同一であ る こ と を確認 し ます。
イ ン プ リ メ ン テーシ ョ ン デー タ を復元す る 際には使用す る 必要が あ
り ます。
<file>
読み込むチ ェ ッ ク ポ イ ン ト (DCP) の完全パ ス ま たは相対パ ス を指定 し
ます。
方法 3 : チ ェ ッ ク ポ イ ン ト を開 く /デザイ ン を ア ッ プデー ト
こ の方法は、 合成結果がネ ッ ト リ ス ト (EDF/EDN/NGC) の形式であ り 、 ス タ テ ィ ッ ク 部分が既に イ ンプ リ メ ン ト さ れ
てい る 場合に便利です。 次の例に、 こ の状況が発生する 2 番目の コ ン フ ィ ギ ュ レーシ ョ ンの コ マ ン ド を示 し ます。
open_checkpoint <top>.dcp
lock_design -level routing
update_design -cells <rp1> -from_file <rp1_b>.{edf/edn/ngc}
update_design -cells <rp2> -from_file <rp2_b>.{edf/edn/ngc}
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
15
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン コ マ ン ド
複数のネ ッ ト リ ス ト があ る リ コ ン フ ィ ギ ャ ラ ブル モ ジ ュ ールの追加
リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールに複数のサブモジ ュ ール ネ ッ ト リ ス ト が含 ま れ る 場合、 Vivado ツールでサブモ
ジ ュ ール ネ ッ ト リ ス ト を処理す る のが困難な場合があ り ます。 こ れは、 PR フ ロ ーでは RM ネ ッ ト リ ス ト が メ モ リ で
既に開いてい る デザ イ ンに追加 さ れ る か ら です。 つま り update_design -cells コ マ ン ド を使用す る 必要があ り 、
各 EDIF フ ァ イ ルのセル名が必要ですが、 セル名を取得す る のが困難な場合があ り ます。
Vivado Design Suite で RM サブモジ ュ ール ネ ッ ト リ ス ト を読み込みやす く する には、 次の 2 つの方法があ り ます。
方法 1 : 1 つの RM チ ェ ッ ク ポ イ ン ト (DCP) を作成する
すべ て の ネ ッ ト リ ス ト を 含む RM チ ェ ッ ク リ ス ト (DCP) を 作成 し ま す。 こ の 場合、 すべ て の EDIF フ ァ イ ル を
add_files コ マ ン ド を使用 し て追加 し 、 EDIF フ ァ イ ルか ら それぞれのセルへの対応を解決す る のに link_design
コ マ ン ド を使用で き ます。 次に、 こ のプ ロ セ ス に使用する コ マ ン ド 例を示 し ます。
add_files [list rm.edf ip_1.edf … ip_n.edf]
# Run if RM XDC exists
add_files rm.xdc
link_design -top <rm_module> -part <part>
write_checkpoint rm_v#.dcp
close_project
そ の 後、 こ の新 し く 作成 し た RM チ ェ ッ ク ポ イ ン ト を PR フ ロ ー で 使用 し ま す。 次の コ マ ン ド 例 で は、 多数 の
update_design -cell コ マン ド を 1 つの read_checkpoint -cell コ マン ド で置 き 換え てい ます。
add_files static.dcp
link_design -top <top> part <part>
lock_design -level routing
read_checkpoint -cell <rm_inst> rm_v#.dcp
方法 2 : サブ モ ジ ュ ール ネ ッ ト リ ス ト を RM の最上位ネ ッ ト リ ス ト と 同 じ デ ィ レ ク ト リ に配置
update_design -cell コ マ ン ド を使用 し て PR デザ イ ンに最上位 RM ネ ッ ト リ ス ト を読み込む と き に、 すべての
サブモジ ュ ール ネ ッ ト リ ス ト が RM の最上位ネ ッ ト リ ス ト と 同 じ デ ィ レ ク ト リ に存在 し てい る よ う に し ます。 こ の
場合、 下位ネ ッ ト リ ス ト を指定す る 必要はな く 、 update_design -cells コ マン ド で自動的に読み込まれます。 こ
の方法は方法 1 ほ ど明示的ではあ り ませんが、 手順は少な く てすみます。 こ の場合に RM ネ ッ ト リ ス ト を読み込む コ
マ ン ド は、 次の よ う にな り ます。
add_files static.dcp
link_design -top <top> part <part>
lock_design -level routing
update_design -cells <rm_inst> -from_file rm_v#.edf
上記の最後の コ マ ン ド (update_design) に よ り 、 下位ネ ッ ト リ ス ト が rm_v#.edf と 同 じ デ ィ レ ク ト リ にあれば自
動的に読み込まれます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
16
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン コ マ ン ド
イ ン プ リ メ ン テーシ ョ ン
PR フ ロ ーでは、ハー ド ウ ェ アに さ ま ざ ま な コ ン フ ィ ギ ュ レーシ ョ ン を使用で き る ので、複数の イ ンプ リ メ ン テーシ ョ
ン run が必要です。 PR デザ イ ン の各 イ ンプ リ メ ン テーシ ョ ンは コ ン フ ィ ギ ュ レーシ ョ ン と 呼ばれ ます。 デザ イ ン の
各モジ ュ ール (ス タ テ ィ ッ ク ま たは リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール) は、 イ ンプ リ メ ン ト す る か イ ン ポー ト (既に イ
ン プ リ メ ン ト さ れてい る 場合) で き ま す。 ス タ テ ィ ッ ク デザ イ ン の イ ン プ リ メ ン テーシ ョ ン結果は各 コ ン フ ィ ギ ュ
レーシ ョ ンで一貫 し てい る 必要があ る ので、1 つの コ ン フ ィ ギ ュ レーシ ョ ン で イ ンプ リ メ ン ト し 、ほかの コ ン フ ィ ギ ュ
レーシ ョ ンでは イ ンポー ト し ます。 追加の コ ン フ ィ ギ ュ レーシ ョ ンは、 ス タ テ ィ ッ ク 部分を イ ン ポー ト し 、 各 リ コ ン
フ ィ ギ ャ ラ ブル モジ ュ ールを イ ンプ リ メ ン ト / イ ン ポー ト す る こ と に よ り 作成で き ます。
PR での イ ン プ リ メ ン テーシ ョ ン コ マ ン ド お よ びオプシ ョ ン のサポー ト に制限はあ り ま せんが、 パーシ ャ ル リ コ ン
フ ィ ギ ュ レーシ ョ ンの基本的な要件に従っ ていない場合、 一部の最適化お よ びサブルーチンが実行 さ れない こ と があ
り ます。 link_design ま たは open_checkpoint コ マ ン ド を使用 し て論理デザ イ ン を読み込む と 、 次の コ マ ン ド
を実行で き る よ う にな り ます。
# Run if all constraints are not already loaded
read_xdc
# Optional command
opt_design
place_design
# Optional command
phys_opt_design
route_design
イ ン プ リ メ ン テーシ ョ ン デー タ の保持
PR フ ロ ーでは、 最初の コ ン フ ィ ギ ュ レーシ ョ ンか ら の ス タ テ ィ ッ ク ロ ジ ッ ク の配置配線結果を、 その後の コ ン フ ィ
ギ ュ レーシ ョ ン用に固定する 必要があ り ます。最初の コ ン フ ィ ギ ュ レーシ ョ ンの ス タ テ ィ ッ ク イ ンプ リ メ ン テーシ ョ
ンは、 チ ェ ッ ク ポ イ ン ト と し て保存 し ます。 その後の コ ン フ ィ ギ ュ レーシ ョ ンでチ ェ ッ ク ポ イ ン ト を読み込む際、 配
置配線を固定 し て、 異な る コ ン フ ィ ギ ュ レーシ ョ ン間で ス タ テ ィ ッ ク デザ イ ンが完全に同一にな る よ う に し ます。 イ
ン ポ ー ト し た チ ェ ッ ク ポ イ ン ト ( ス タ テ ィ ッ ク ま た は リ コ ン フ ィ ギ ャ ラ ブ ル) の 配 置配 線 を 固定 す る に は、
lock_design コ マ ン ド を使用 し ます。
lock_design -level routing [cell_name]
上記の コ マ ン ド を使用 し て ス タ テ ィ ッ ク ロ ジ ッ ク を固定す る 場合は、 [cell_name] の指定はオプシ ョ ンです。
lock_design -level routing
イ ンポー ト さ れた RM の結果を固定する には、 イ ンプ リ メ ン テーシ ョ ン後のチ ェ ッ ク ポ イ ン ト 内で完全な階層名を指
定する 必要があ り ます。
lock_design -level routing u0_RM_instance
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンでは、 サポー ト さ れ る 保持レベルは routing のみです。 こ の コ マン ド にはほ
かの保持レベル も あ り ますが、 ほかの階層デザ イ ン フ ロ ーでのみ使用す る よ う に し て く だ さ い。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
17
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンの制約 と プ ロパテ ィ
パーシ ャル リ コ ン フ ィ ギ ュ レーシ ョ ンの制約 と
プ ロパテ ィ
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン フ ロ ー特定のプ ロ パテ ィ と 制約がい く つかあ り ます。こ れ ら は PR 特定の イ ン
プ リ メ ン テーシ ョ ン プ ロ セ ス を開始 し 、 パーシ ャ ル ビ ッ ト ス ト リ ームに特定の性質を適用 し ます。 パーシ ャ ル リ コ
ン フ ィ ギ ュ レーシ ョ ン用には、 次の 4 種類の制約お よびプ ロ パテ ィ があ り ます。
•
モジ ュ ールを リ コ ン フ ィ ギ ャ ラ ブル と 定義 (必須)
•
リ コ ン フ ィ ギ ャ ラ ブル領域の フ ロ アプ ラ ン を作成 (必須)
•
コ ン フ ィ ギ ュ レーシ ョ ン後に リ セ ッ ト を適用 (オプシ ョ ン、 強 く 推奨)
•
表示ス ク リ プ ト を オン (オプシ ョ ン)
モ ジ ュ ールを リ コ ン フ ィ ギ ャ ラ ブル と 定義
PR デザ イ ン を イ ンプ リ メ ン ト す る には、各 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールを その よ う に指定す る 必要があ り ます。
こ れには、 リ コ ン フ ィ ギ ュ レ ーシ ョ ンす る 各階層セルの最上位にプ ロ パテ ィ を設定す る 必要があ り ます。 た と えば、
inst_count と い う 名前の リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンがあ り 、 こ のパーテ ィ シ ョ ンに 2 つの リ コ ン フ ィ ギ ャ
ラ ブル モジ ュ ール count_up お よび count_down があ る デザ イ ン を考え た場合、 最初の コ ン フ ィ ギ ュ レーシ ョ ン を イ ン
プ リ メ ン ト する 前に次の コ マ ン ド を実行する 必要があ り ます。
set_property HD.RECONFIGURABLE TRUE [get_cells inst_count]
こ れに よ り 、 PR デザ イ ン を正 し く イ ンプ リ メ ン ト す る ために必要な ツールのパーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
機能がア ク テ ィ ブにな り ます。 HD.RECONFIGURABLE プ ロ パテ ィ に よ り 、 い く つかの制約お よ び タ ス ク が適用 さ れ
ます。
•
指定のセルお よ び イ ン タ ーフ ェ イ ス ネ ッ ト に DONT_TOUCH を設定 し ます。 こ れに よ り 、 モジ ュ ールの境界を
越え た最適化は実行 さ れな く な り ます。
•
セルの Pblock に EXCLUDE_PLACEMENT を設定 し ます。 こ れに よ り 、 ス タ テ ィ ッ ク ロ ジ ッ ク が リ コ ン フ ィ ギ ャ
ラ ブル領域に配置 さ れ る こ と はな く な り ます。
•
セルの Pblock に CONTAIN_ROUTING を設定 し ます。 こ れに よ り 、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールのすべて
の配線が境界内に収め ら れます。
•
DRC、 ク ロ ッ ク 配線な ど で特別の コ ー ド を イ ネーブルに し ます。
リ コ ン フ ィ ギ ャ ラ ブル領域のフ ロ ア プ ラ ン を作成
各 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンには、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールに使用可能な物理 リ ソ ース を定義
する ため Pblock が必要です。 こ の Pblock は リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンに設定する ので、 次の制限お よ び
要件が適用 さ れます。
•
Pblock には CLB/SLICE、 DSP、 BRAM サ イ ト のみを含め ます。 領域がほかのサ イ ト タ イ プ と 重な る 可能性 も あ
り ますが、 こ れ ら のほかのサ イ ト は resize_pblock コ マン ド に含めない よ う にす る 必要があ り ます。
•
各コ ンポーネ ン ト タ イ プの複数の Pblock 矩形を使用 し て リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン領域を作成で き
ま すが、 配線性のため、 こ れ ら の矩形は連続 し てい る 必要が あ り ま す。 リ コ ン フ ィ ギ ュ レ ーシ ョ ン不可能な リ
ソ ース を考慮 し たギ ャ ッ プは許容 さ れます。
•
RESET_AFTER_RECONFIG プ ロ パテ ィ を使用す る 場合は、 Pblock の高 さ を ク ロ ッ ク 領域の境界に揃え る 必要が
あ り ます。 詳細は、 「 リ コ ン フ ィ ギ ュ レーシ ョ ン後に リ セ ッ ト を適用」 を参照 し て く だ さ い。
•
Pblock の幅お よ び構成に よ り 、 イ ン タ ー コ ネ ク ト 列が分割 さ れない よ う にす る 必要があ り ます。 詳細は、 第 3 章
の 「 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの Pblock のサ イ ズ と 形状」 を参照 し て く だ さ い。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
18
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンの制約 と プ ロパテ ィ
•
Pblock がデザ イ ンのほかの Pblock と 重な ら ない よ う にす る 必要があ り ます。
•
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンのネ ス ト ( コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン内に別の リ コ ン フ ィ ギ ャ
ラ ブル パーテ ィ シ ョ ン を含め る ) は現在の と こ ろサポー ト さ れてい ません。
表 2-4 : Pblock のコ マ ン ド と プ ロパテ ィ
コ マ ン ド /プ ロパテ ィ 名
説明
create_pblock
各 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン イ ン ス タ ン ス の初期 Pblock を作成 し
ます。
add_cells_to_pblock
Pblock に含まれ る イ ン ス タ ン ス を指定 し ます。 通常、 ボ ト ム ア ッ プ合成で定義
さ れ る 階層レベルを指定 し ます。
resize_pblock
Pblock のサ イ ト タ イ プ (SLICE、 RAMB36 な ど) と サ イ ト 位置を定義 し ます。
RESET_AFTER_RECONFIG
リ コ ン フ ィ ギ ャ ラ ブル領域での専用 GSR イ ベン ト の使用を制御する Pblock プ
ロ パテ ィ です。 こ のプ ロ パテ ィ を使用す る こ と を強 く お勧め し ます。 ただ し 、
Pblock の高 さ が ク ロ ッ ク 領域の境界に揃え ら れてい る 必要があ り ます。
CONTAIN_ROUTING
Pblock に含 ま れ な い配線 リ ソ ー ス が使用 さ れな い よ う にす る Pblock プ ロ パ
テ ィ です。 こ のプ ロ パテ ィ は PR では必須で、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ
シ ョ ンに対 し て自動的に True に設定 さ れます。 ス タ テ ィ ッ ク 配線で Pblock 内
の リ ソ ース を使用す る こ と は許容 さ れます。
EXCLUDE_PLACEMENT
定義 さ れた Pblock 範囲内に Pblock に含ま れない ロ ジ ッ ク が配置 さ れない よ う
にす る Pblock プ ロ パテ ィ です。 こ のプ ロ パテ ィ は PR では必須で、 リ コ ン フ ィ
ギ ャ ラ ブル パーテ ィ シ ョ ンに対 し て自動的に True に設定 さ れます。
RESET_AFTER_RECONFIG が使用 さ れない場合は、 LOC プ ロ パテ ィ を使用 し
て ス タ テ ィ ッ ク ロ ジ ッ ク を リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン内に配置で
き ます。
次に、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの制約例を示 し ます。
#define a new pblock
create_pblock pblock_count
#add a hierarchical module to the pblock
add_cells_to_pblock [get_pblocks pblock_count] [get_cells [list inst_count]]
#define the size and components within the pblock
resize_pblock [get_pblocks pblock_count] -add {SLICE_X136Y50:SLICE_X145Y99}
resize_pblock [get_pblocks pblock_count] -add {RAMB18_X6Y20:RAMB18_X6Y39}
resize_pblock [get_pblocks pblock_count] -add {RAMB36_X6Y10:RAMB36_X6Y19}
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
19
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンの制約 と プ ロパテ ィ
Vivado IDE でのフ ロ ア プ ラ ン
プ ロ ジ ェ ク ト モー ド はサポー ト さ れてい ま せんが、 Vivado IDE を使用 し て表示お よ び配置 タ ス ク を 実行で き ま す。
[Device] ビ ュ ーを使用 し て、 フ ロ アプ ラ ン用に Pblock 制約を作成お よ び変更す る のがその よ い例です。 まず、 合成済
みス タ テ ィ ッ ク デザ イ ン と 最大の リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールを開 き ます。 次に、 『Vivado Design Suite チ ュ ー
ト リ アル : パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン』 (UG947) [参照 6] のチ ュ ー ト リ アル デザ イ ン を使用 し た コ マン ド
例を示 し ます。
open_checkpoint synth/Static/top_synth.dcp
read_checkpoint -cell [get_cells inst_count] synth/count_up/count_synth.dcp
read_checkpoint -cell [get_cells inst_shift] synth/shift_right/shift_synth.dcp
set_property HD.RECONFIGURABLE true [get_cells inst_count]
set_property HD.RECONFIGURABLE true [get_cells inst_shift]
こ の時点で、 フル コ ン フ ィ ギ ュ レーシ ョ ンが メ モ リ に読み込まれ、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンが定義 さ
れます。 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン用の Pblock 制約を作成す る には、 [Netlist] ビ ュ ーで イ ン ス タ ン ス ( こ
の場合は inst_count ま たは inst_shift) を右 ク リ ッ ク し 、 [Draw Pblock] を ク リ ッ ク し ます。 [Device] ビ ュ ーで矩形を作成
し 、 こ の リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの リ ソ ース を選択 し ます。
こ の Pblock を選択す る と 、[Properties] ビ ュ ーに使用可能な リ ソ ース数 と 必要な リ ソ ース数が表示 さ れます。 必要な数
は現在読み込まれてい る リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールに よ っ て異な り 、ほかのモジ ュ ールの要件は異な る 場合が
あ る こ と に注意 し て く だ さ い。適切な形状 (L 字型な ど) を作成する ために矩形を追加する 必要があ る 場合は、[Device]
ビ ュ ーで Pblock を右 ク リ ッ ク し 、 [Add Pblock Rectangle] を ク リ ッ ク し ます。
デザ イ ン ルール チ ェ ッ ク (DRC) を実行 し て、 メ モ リ 内の コ ン フ ィ ギ ュ レーシ ョ ンで フ ロ アプ ラ ンお よ びその他の項
目を検証 し ます。 DRC を実行す る には、 [Tools] → [Report] → [Report DRC] を ク リ ッ ク し 、 [Partial Reconfiguration] が
オンにな っ てい る こ と を確認 し ます (図 2-1)。 Pblock に HD.RECONFIGURABLE が設定 さ れてい る 場合、 1 つの DRC
のみが実行可能で、 次の図に示 さ れてい る すべては実行で き ません。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
20
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンの制約 と プ ロパテ ィ
X-Ref Target - Figure 2-1
図 2-1 : Vivado IDE でのパーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン DRC
こ れ ら の DRC は、 Tcl コ ン ソ ールま たは ス ク リ プ ト で report_drc コ マン ド を使用 し て実行 し ます。 パーシ ャ ル リ
コ ン フ ィ ギ ュ レーシ ョ ン用のチ ェ ッ ク のみを実行する 場合は、 次の コ マ ン ド を使用 し ます。
report_drc -checks [get_drc_checks HDPR*]
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
21
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンの制約 と プ ロパテ ィ
デザ イ ン プ ロ セ ス の特定の段階に対す る チ ェ ッ ク を実行す る には、 -ruledeck オプシ ョ ン を使用で き ます。 た と え
ば、 配置配線済みのデザ イ ンに対 し て次の コ マ ン ド を実行で き ます。
report_drc -ruledeck bitstream_checks
フ ロ アプ ラ ン制約を保存する には、 [Tcl Console] ビ ュ ーに次の コ マン ド を入力 し ます。
write_xdc top_fplan.xdc
こ の制約フ ァ イ ルに保存 さ れた Pblock 制約は、直接使用す る か、別の最上位デザ イ ン制約フ ァ イ ルに コ ピー し て使用
で き ます。 こ の XDC フ ァ イ ルには、 新 し く 追加 し た制約だけでな く 、 メ モ リ に読み込まれてい る 現在のデザ イ ンの
制約すべてが含まれます。
注意 : Vivado IDE の [File] → [Save Checkpoint] ま たは同等のア イ コ ン を使用 し てデザ イ ン全体を保存 し ないで く だ さ
い。 読み込ま れてい る デザ イ ン を こ の方法で保存す る と 、 合成済みス タ テ ィ ッ ク デザ イ ン チ ェ ッ ク ポ イ ン ト が リ コ
ン フ ィ ギ ャ ラ ブル モジ ュ ール と 追加制約を含む新 し いバージ ョ ン で上書 き さ れます。
パーテ ィ シ ョ ン ピ ン
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン に定義 さ れた Pblock 領域内には、 パーテ ィ シ ョ ン ピ ン と 呼ばれ る イ ン タ ー
フ ェ イ ス ポ イ ン ト が自動的に作成 さ れます。 こ れ ら の仮想 I/O は イ ン タ ーコ ネ ク ト タ イ ル内にア ン カー ポ イ ン ト と
し て作成 さ れ、 モジ ュ ール間で一定に保たれます。 こ れ ら のア ン カー ポ イ ン ト を作成す る のに、 LUT やフ リ ッ プ フ
ロ ッ プな ど の物理 リ ソ ース は不要で、 遅延が追加 さ れ る こ と も あ り ません。
ツールに よ り ソ ース、 ロ ー ド 、 お よ び タ イ ミ ン グ要件に基づいて位置が選択 さ れますが、 ユーザーが こ れ ら の位置を
駆動する こ と も で き ます。 次の制約を適用する と 、 パーテ ィ シ ョ ン ピ ンの配置に影響を与え る こ と がで き ます。
表 2-5 : パーテ ィ シ ョ ン ピ ンの配置に影響を与え る プ ロパテ ィ
コ マ ン ド /プ ロパテ ィ 名
説明
HD.PARTPIN_LOCS
配線 さ れる指定ポー ト に イ ン ターコ ネ ク ト タ イ ル (INT) を指定し ます。
HD.PARTPIN_RANGE よ り も優先 さ れます。 こ のプ ロパテ ィ は、 リ コ ン フ ィ ギ ャ ラ
ブル パーテ ィ シ ョ ンの境界の両側にあ る ロ ジ ッ ク の配置および配線に影響し ます。
ク ロ ッ ク ポー ト には使用 し ないで く だ さ い。 ク ロ ッ ク ポー ト に使用す る と 、 ク
ロ ッ ク に ロ ーカル配線が使用 さ れます。
こ のプ ロ パテ ィ を専用接続には使用 し ないで く だ さ い。
HD.PARTPIN_RANGE
指定 し た ポ ー ト を 配線す る た め に使用可能 な コ ン ポ ー ネ ン ト サ イ ト (SLICE、
DSP、 BRAM) ま たは イ ン タ ー コ ネ ク ト タ イ ル (INT) の範囲を定義 し ます。
ク ロ ッ ク ポー ト には使用 し ないで く だ さ い。 ク ロ ッ ク ポー ト に使用す る と 、 ク
ロ ッ ク に ロ ーカル配線が使用 さ れます。
こ のプ ロ パテ ィ を専用接続には使用 し ないで く だ さ い。
パーテ ィ シ ョ ン ピ ンの配置に影響を与え る プ ロ パテ ィ の例
•
set_property HD.PARTPIN_LOCS INT_R_X4Y153 [get_ports <port_name>]
•
set_property HD.PARTPIN_RANGE SLICE_X4Y153:SLICE_X5Y157 [get_ports <port_name>]
イ ン タ ーコ ネ ク ト タ イ ル サ イ ト の イ ン ス タ ン ス名は、 [Device] ビ ュ ーで [Routing Resources] を イ ネーブルにす る と 表
示で き ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
22
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンの制約 と プ ロパテ ィ
リ コ ン フ ィ ギ ュ レーシ ョ ン後に リ セ ッ ト を適用
リ コ ン フ ィ ギ ュ レ ーシ ョ ン後に リ セ ッ ト す る 機能を使用す る と 、 パーシ ャ ル リ コ ン フ ィ ギ ュ レ ーシ ョ ン中に リ コ ン
フ ィ ギ ュ レーシ ョ ン さ れ る 領域が一定 し た状態に保持 さ れ、 新 し い リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールのすべての ロ
ジ ッ ク が開始値に初期化 さ れます。 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン中、 ス タ テ ィ ッ ク 配線は影響を受けずに領
域を通過する こ と がで き 、デバ イ ス のほかの部分にあ る ス タ テ ィ ッ ク ロ ジ ッ ク (お よ びほかの PR 領域) は通常どお り
動作 し 続け ます。 こ の機能を使用す る パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンは、 FPGA の初期 コ ン フ ィ ギ ュ レーシ ョ
ン と 同様に動作 し 、 同期エ レ メ ン ト が既知の初期ス テー ト にな り ます。
次に、 RESET_AFTER_RECONFIG プ ロ パテ ィ の構文を示 し ます。
set_property RESET_AFTER_RECONFIG true [get_pblocks <reconfig_pblock_name>]
リ コ ン フ ィ ギ ュ レーシ ョ ン後に リ セ ッ ト する 機能を適用する には、Pblock 制約が リ コ ン フ ィ ギ ュ レーシ ョ ン フ レーム
に揃え ら れてい る 必要があ り ます。 GSR は領域内のすべての同期エ レ メ ン ト に影響す る ので、 リ コ ン フ ィ ギ ャ ラ ブル
フ レームのみを使用す る 必要があ り ます。 こ れ ら の リ コ ン フ ィ ギ ャ ラ ブル フ レーム内でには ス タ テ ィ ッ ク ロ ジ ッ ク
は配置で き ません ( ス タ テ ィ ッ ク 配線は可能)。 Pblock の高 さ が ク ロ ッ ク 領域の境界に揃え ら れてい る 必要が あ り ま
す。 こ れは、 こ れが リ コ ン フ ィ ギ ャ ラ ブル フ レームの基本領域に一致 し てい る か ら です。
図 2-2 では、 左側の Pblock (pblock_shift) は、 Pblock の上辺 と 下辺が ク ロ ッ ク 領域 X1Y3 の高 さ に揃 っ て い る ので、
RESET_AFTER_RECONFIG 機能 を 使 用 で き ま す。 右側 の Pblock (pblock_count) は、 上 辺 が 揃 っ て い な い の で、
RESET_AFTER_RECONFIG は使用で き ません。 こ の場合、 モジ ュ ールがパーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン さ れ
た後に、 Pblock と ク ロ ッ ク 領域の上辺ま でに配置 さ れてい る ス タ テ ィ ッ ク ロ ジ ッ ク が GSR の影響を受け ます。
X-Ref Target - Figure 2-2
図 2-2 : RESET_AFTER_RECONFIG を使用可能な Pblock (左) と 使用不可能な Pblock (右)
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
23
ツール フ ロー
別のや り 方 と し て、 こ のプ ロ パテ ィ を使用せず、 正 し く 機能す る ために初期化が必要な リ コ ン フ ィ ギ ュ レーシ ョ ン さ
れた ロ ジ ッ ク に ロ ーカル リ セ ッ ト を適用す る 方法があ り ます。 こ の方法では、Pblock の高 さ ク ロ ッ ク 領域の境界に揃
え る 必要はあ り ません。 GSR ま たは ロ ーカル リ セ ッ ト を使用 し ない場合、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール内の同
期エ レ メ ン ト が初期の開始値にな ら ない可能性があ り ます。
表示ス ク リ プ ト を オ ン
パーシ ャ ル ビ ッ ト ス ト リ ームの一部であ る コ ン フ ィ ギ ュ レーシ ョ ン タ イ ルを、 Vivado IDE の [Device] に表示で き ま
す。 こ れ ら は、 イ ンプ リ メ ン テーシ ョ ン中に作成 さ れ る ス ク リ プ ト で特定 さ れます。 ス ク リ プ ト の作成を オンにす る
には、 イ ンプ リ メ ン テーシ ョ ンの開始前に次のパ ラ メ ー タ ーを設定 し ます。
set_param HD.VISUAL true
こ れに よ り 、 run ス ク リ プ ト を起動 し たデ ィ レ ク ト リ 内に hd_visual デ ィ レ ク ト リ が作成 さ れ、 こ のデ ィ レ ク ト リ
に複数の ス ク リ プ ト が配置 さ れま す。 こ れ ら の ス ク リ プ ト を使用す る には、 Vivado IDE に配線済みデザ イ ン チ ェ ッ
ク ポ イ ン ト を読み込み、 ス ク リ プ ト のいずれかを実行 し ます。 こ れ ら のデザ イ ン特定の ス ク リ プ ト では、 ユーザーが
定義 し た コ ン フ ィ ギ ュ レーシ ョ ン タ イ ルをハ イ ラ イ ト 、パーシ ャ ル BIT フ ァ イ ルを作成す る のに使用 さ れた コ ン フ ィ
ギ ュ レーシ ョ ン フ レーム を表示、 PR フ ロ アプ ラ ン か ら 除外 さ れたサ イ ト を示 し ます。 モジ ュ ール解析や Tandem コ
ン フ ィ ギ ュ レーシ ョ ン な ど のほかの フ ロ ー用に も ス ク リ プ ト が作成 さ れますが、 こ れ ら は PR では使用 さ れません。
ツール フ ロー
こ のセ ク シ ョ ンでは基本フ ロ ーを説明 し 、 こ の フ ロ ーを実行する ためのサ ンプル コ マン ド を示 し ます。
合成
ス タ テ ィ ッ ク モジ ュ ールを含む各モジ ュ ールは、それぞれにネ ッ ト リ ス ト /チ ェ ッ ク ポ イ ン ト が作成 さ れ る よ う に、ボ
ト ム ア ッ プ合成する 必要があ り ます。
1.
最上位モジ ュ ールの合成
read_verilog top.v (お よ び リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールのブ ラ ッ ク ボ ッ ク ス モジ ュ ール定義
を含むス タ テ ィ ッ ク デザ イ ンに関連する その他の HDL)
こ の後次を実行 し ます。
read_xdc top_synth.xdc
synth_design -top top -part xc7k70tfbg676-2
write_checkpoint top_synth.dcp
2.
リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの合成
read_verilog rp1_a.v
synth_design -top rp1 -part xc7k70tfbg676-2 -mode out_of_context
write_checkpoint rp1_a_synth.dcp
3.
残 り の各 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの合成
read_verilog rp1_b.v
synth_design -top rp1 -part xc7k70tfbg676-2 -mode out_of_context
write_checkpoint rp1_b_synth.dcp
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
24
ツール フ ロー
イ ン プ リ メ ン テーシ ョ ン
すべての リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールを少な く と も 1 回 イ ンプ リ メ ン ト す る のに必要な数の コ ン フ ィ ギ ュ レー
シ ョ ン を作成 し ます。 最初の コ ン フ ィ ギ ュ レーシ ョ ンで、最上位 と 最初の リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの合成結
果を読み込みます。 モジ ュ ールを リ コ ン フ ィ ギ ャ ラ ブル と マー ク し 、 イ ンプ リ メ ン テーシ ョ ン を実行 し ます。 リ コ ン
フ ィ ギ ャ ラ ブル モジ ュ ールを必要に応 じ て再利用で き る よ う に、 チ ェ ッ ク ポ イ ン ト を保存 し ます。 最後に、 デザ イ ン
か ら リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールを削除 し (update_design -cell -black_box)、 ス タ テ ィ ッ ク デザ イ ン
のみのチ ェ ッ ク ポ イ ン ト を保存 し ます。
コ ン フ ィ ギ ュ レーシ ョ ン 1 :
open_checkpoint top_synth.dcp
read_xdc top_impl.xdc
read_checkpoint -cell rp1 rp1_a_synth.dcp
set_property HD.RECONFIGURABLE true [get_cells rp1]
opt_design
place_design
route_design
write_checkpoint config1_routed.dcp
write_checkpoint -cell rp1 rp1_a_route_design.dcp
update_design -cell rp1 -black_box
write_checkpoint static_routed.dcp
2 番目の コ ン フ ィ ギ ュ レーシ ョ ンでは、リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールがブ ラ ッ ク ボ ッ ク ス と し て含まれてい る ス
タ テ ィ ッ ク 部分の配置配線済みチ ェ ッ ク ポ イ ン ト を読み込み (閉 じ てい る 場合)、 固定 し ます。 その後 2 番目の リ コ ン
フ ィ ギ ャ ラ ブル モジ ュ ールの合成結果を読み込み、 デザ イ ン を イ ンプ リ メ ン ト し ます。 最後に、 リ コ ン フ ィ ギ ャ ラ ブ
ル モジ ュ ールの 2 番目のバージ ョ ンの イ ンプ リ メ ン テーシ ョ ン チ ェ ッ ク ポ イ ン ト を保存 し ます。
コ ン フ ィ ギ ュ レーシ ョ ン 2 :
open_checkpoint static_routed.dcp
lock_design -level routing
read_checkpoint -cell rp1 rp1_b_synth.dcp
opt_design
place_design
route_design
write_checkpoint config2_routed.dcp
write_checkpoint -cell rp1 rp1_b_route_design.dcp
ヒ ン ト : 各 コ ン フ ィ ギ ュ レーシ ョ ン を別の フ ォ ルダーに分け、 すべての中間チ ェ ッ ク ポ イ ン ト 、 ロ グお よ びレ ポー ト
フ ァ イ ル、 BIT フ ァ イ ル、 その他のデザ イ ン出力が個別に保存 さ れ る よ う に し ます。
複数の リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンがあ る 場合は、 ほかの コ ン フ ィ ギ ュ レーシ ョ ン も 必要です。追加の コ ン
フ ィ ギ ュ レ ーシ ョ ンは、 以前に イ ン プ リ メ ン ト 済みの リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールを イ ン ポー ト し て、 ハー ド
ウ ェ アに読み込むフル デザ イ ン を作成す る こ と に よ り 、 作成する こ と も 可能です。 こ れは、 適切な電源投入の組み合
わせでフル ビ ッ ト ス ト リ ーム を作成す る 場合、 ま たは ス タ テ ィ ッ ク タ イ ミ ン グ解析、消費電力解析、 シ ミ ュ レーシ ョ
ン を実行する ために有益です。
重要 : イ ン プ リ メ ン ト 済み リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール チ ェ ッ ク ポ イ ン ト を再利用す る 際の問題につい ては、
第 5 章の 「既知の問題」 を参照 し て く だ さ い。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
25
ツール フ ロー
レ ポー ト
イ ンプ リ メ ン テーシ ョ ン フ ロ ーの各段階では、 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン特定のデザ イ ン ルール チ ェ ッ
ク (DRC) が実行 さ れます。 イ ンプ リ メ ン テーシ ョ ンで表示 さ れ る メ ッ セージに注意 し 、 ク リ テ ィ カル警告が発生 し て
いないかを確認 し ます。 こ れ ら の メ ッ セージは、 モジ ュ ール イ ン タ ーフ ェ イ ス、 フ ロ アプ ラ ン、 PR デザ イ ンのその
他の面を最適化する のに役立ち ます。
生成 さ れ る レ ポ ー ト に は、 PR 特定 の セ ク シ ョ ン は あ り ま せ ん が、 有益 な 情報 が 含 ま れ て い ま す。 た と え ば、
report_utilization コ マ ン ド で -pblocks オプシ ョ ン を使用す る と 、 リ ソ ー ス使用率を取得で き ます。 こ の コ
マ ン ド を実行する と 、指定 し た リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール内で使用可能な リ ソ ース数 と 使用 さ れてい る リ ソ ー
ス数が示 さ れます。 次に、 『Vivado Design Suite チ ュ ー ト リ アル : パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン』 (UG947) [参
照 6] のデザ イ ン を使用 し た コ マ ン ド 例を示 し ます。
report_utilization -pblocks [get_pblocks pblock_count]
コ ン フ ィ ギ ュ レーシ ョ ンの検証
すべての コ ン フ ィ ギ ュ レーシ ョ ン を完全に配置配線 し た ら 、 pr_verify を使用 し て最終検証チ ェ ッ ク を実行 し 、 コ
ン フ ィ ギ ュ レーシ ョ ン間の一貫性を確認で き ます。 こ の コ マン ド では、 複数の配線済みチ ェ ッ ク ポ イ ン ト (DCP) を引
数 と し て指定 し 、 それ ら のチ ェ ッ ク ポ イ ン ト 間での ス タ テ ィ ッ ク イ ン プ リ メ ン テーシ ョ ン と パーテ ィ シ ョ ン ピ ン配
置の違い を レ ポー ト で き ます。 こ の比較では、 RM 内の配置配線は無視 さ れます。
2 つの コ ン フ ィ ギ ュ レーシ ョ ンのみを比較す る 場合は、 2 つの配線済みチ ェ ッ ク リ ス ト を <file1> お よ び <file2>
と し て リ ス ト し ます。 pr_verify に よ り 両方が メ モ リ に読み込ま れ、 比較 さ れます。 3 つ以上の コ ン フ ィ ギ ュ レー
シ ョ ン を 比較す る 場合は、 -initial オプ シ ョ ン を 使用 し て マ ス タ ー コ ン フ ィ ギ ュ レ ーシ ョ ン を 指定 し て か ら 、
-additional オプシ ョ ン を使用 し て複数の コ ン フ ィ ギ ュ レーシ ョ ン を中か っ こ ({ }) で囲んで リ ス ト し ます。 マ ス
タ ー コ ン フ ィ ギ ュ レーシ ョ ンが メ モ リ に保持 さ れ、 残 り の コ ン フ ィ ギ ュ レーシ ョ ンがそれ と 比較 さ れます。 PR 検証
チ ェ ッ ク で問題が検出 さ れた コ ン フ ィ ギ ュ レーシ ョ ンがあ る 場合は、 ど の コ ン フ ィ ギ ュ レーシ ョ ンに対 し て も ビ ッ ト
ス ト リ ーム を生成 し ないで く だ さ い。
pr_verify [-full_check] [-file <arg>] [-initial <arg>] [-additional <arg>] [-quiet]
[-verbose] [<file1>] [<file2>]
表 2-6 : pr_verify コ マ ン ド のオプ シ ョ ン
コ マ ン ド オプ シ ョ ン
説明
-full_check
デフ ォ ル ト では、 最初の差異のみが レ ポー ト さ れます。 こ のオプシ ョ
ン を true に設定す る と 、 配置ま たは配線の差異がすべて レ ポー ト さ
れます。
-file
結果を保存す る フ ァ イ ルの名前を指定 し ます。 こ のオプシ ョ ン を使用
し ない場合、 出力は コ ン ソ ールに表示 さ れます。
-initial
すべてのチ ェ ッ ク ポ イ ン ト の比較基準 と な る 1 つの配線済みデザ イ ン
チ ェ ッ ク ポ イ ン ト を指定 し ます。
-additional
-initial で指定 し たチ ェ ッ ク ポ イ ン ト と 比較す る 配線済みデザ イ ン
チ ェ ッ ク ポ イ ン ト を 1 つま たは複数指定 し ます。 複数のチ ェ ッ ク ポ イ
ン ト を リ ス ト す る 場合は、 次の よ う に中かっ こ で囲みます。
{config2.dcp config3.dcp config4.dcp}
-quiet
コ マ ン ド エ ラ ーを無視 し ます。
-verbose
プ ロ グ ラ ム実行中 メ ッ セージの制限を解除 し 、 すべての メ ッ セージ を
表示 し ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
26
ツール フ ロー
次に、 2 つの コ ン フ ィ ギ ュ レーシ ョ ン を比較する コ マ ン ド ラ イ ン例を示 し ます。
pr_verify -full_check config1_routed.dcp config2_routed.dcp -file pr_verify_c1_c2.log
次は、 3 つの コ ン フ ィ ギ ュ レーシ ョ ン を検証する 例です。
pr_verify -full_check -initial config1.dcp -additional {config2.dcp config3.dcp} -file
three_config.log
『Vivado Design Suite チ ュ ー ト リ アル : パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン』 (UG947) [参照 6] で提供 さ れ る ス ク リ
プ ト には、 verify_configs と い う Tcl プ ロ シージ ャ が含 ま れてお り 、 存在す る すべての コ ン フ ィ ギ ュ レ ーシ ョ
ン に対 し て pr_verify が実行 さ れ、 DCP が一貫 し てい る かが レ ポー ト さ れます。
ビ ッ ト ス ト リ ームの生成
ビ ッ ト ス ト リ ーム を生成す る には、 フ ラ ッ ト フ ロ ー と 同様、 write_bitstream コ マ ン ド を使用 し ます。 各デザ イ
ン コ ン フ ィ ギ ュ レーシ ョ ン に対 し て write_bitstream を実行 し 、 フ ル標準 コ ン フ ィ ギ ュ レーシ ョ ン フ ァ イ ル と 、
その コ ン フ ィ ギ ュ レーシ ョ ン内の各 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールに対 し てパーシ ャ ル BIT フ ァ イ ルを 1 つずつ
作成 し ます。
write_bitstream コ マ ン ド の -file オプシ ョ ン で コ ン フ ィ ギ ュ レ ーシ ョ ン名 と リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ー
ル名を指定する こ と をお勧め し ます。 ベース BIT フ ァ イ ル名のみが変更可能なので、 各 コ ン フ ィ ギ ュ レーシ ョ ンに ど
の リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールを選択 し てい る か を記録 し てお く こ と が重要です。
次に、 上記のデザ イ ン を使用 し て、 配線済みチ ェ ッ ク ポ イ ン ト ( コ ン フ ィ ギ ュ レーシ ョ ン) を読み込み、 すべての イ ン
プ リ メ ン ト 済み リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの ビ ッ ト ス ト リ ーム を作成す る 例を示 し ます。
read_checkpoint config1_routed.dcp
write_bitstream config1
こ の コ マン ド に よ り 、 config1.bit と い う フル デザ イ ン ビ ッ ト ス ト リ ームが作成 さ れます。 電源を投入し た と き に、
こ のビ ッ ト ス ト リ ーム を使用し てデバイ ス をプロ グ ラ ム し ます。 こ のビ ッ ト ス ト リ ームには、 リ コ ン フ ィ ギ ャ ラ ブル モ
ジ ュールの機能も含まれています。 こ の コ マン ド は、 FPGA の動作中に これら のモジ ュールを リ コ ン フ ィ ギ ュ レーシ ョ ン
するパーシ ャル BIT フ ァ イ ル config1_pblock_rp1_partial.bit および config1_pblock_rp2_partial.bit も
作成し ます。 各コ ン フ ィ ギ ュ レーシ ョ ンに対し て これら の手順を繰 り 返し ます。
ス タ テ ィ ッ ク デザ イ ンのパ ワーオン コ ン フ ィ ギ ュ レーシ ョ ンが必要な場合は、update_design -black_box の実
行後、 空の リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン を含むチ ェ ッ ク ポ イ ン ト に対 し て write_bitstream コ マン ド を
実行 し ます。 こ のブ ラ ッ ク ボ ッ ク ス コ ン フ ィ ギ ュ レーシ ョ ン を圧縮 し 、 BIT フ ァ イ ルのサ イ ズお よ び コ ン フ ィ ギ ュ
レーシ ョ ン時間を削減で き ます。 RP の出力は駆動 さ れないので、 電源が投入 さ れた と き にデカ ッ プ リ ン グ ロ ジ ッ ク
を イ ネーブルにな る よ う にデザ イ ン を構築する 必要があ り ます。
ヒ ン ト : 各パーシ ャ ル BIT フ ァ イ ルの名前を リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール イ ン ス タ ン ス と 一致す る よ う に変更
し 、 モジ ュ ールを識別で き る よ う に し ます。 現在の ソ リ ュ ーシ ョ ンでは、 コ ン フ ィ ギ ュ レーシ ョ ンのベース名お よ び
Pblock の名前に基づいてパーシ ャ ル BIT フ ァ イ ルの名前が付け ら れます。
<base_name>_<pblock_name>_partial.bit
ビ ッ ト ス ト リ ームの圧縮やその他のア ド バン ス機能 も 使用で き ます。
注意 : リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール チ ェ ッ ク ポ イ ン ト に対 し て直接 write_bitstream コ マン ド を実行 し
な いで く だ さ い。 デザ イ ン 全体のチ ェ ッ ク ポ イ ン ト のみ を 使用 し て く だ さ い。 リ コ ン フ ィ ギ ャ ラ ブル モ ジ ュ ール
チ ェ ッ ク ポ イ ン ト は配置配線済みのサブモジ ュ ールであ り 、 最上位デザ イ ンの イ ンプ リ メ ン テーシ ョ ン情報は含まれ
ていないので、 適切なパーシ ャ ル BIT フ ァ イ ルは作成 さ れません。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
27
Tcl ス ク リ プ ト
Tcl ス ク リ プ ト
こ の フ ロ ーを実行す る ための ス ク リ プ ト は、 『Vivado Design Suite チ ュ ー ト リ アル : パーシ ャ ル リ コ ン フ ィ ギ ュ レ ー
シ ョ ン』 (UG947) [参照 6] で提供 さ れてい ます。 こ れ ら のサンプル ス ク リ プ ト の詳細は、 こ のチ ュ ー ト リ アルお よ び
サンプル デザ イ ン ZIP フ ァ イ ルに含まれてい る readme.txt を参照 し て く だ さ い。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
28
第 3章
デザイ ン での考慮事項 と ガ イ ド ラ イ ン
概要
こ の章では、 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン特定のデザ イ ン要件 と 、 ザ イ リ ン ク ス FPGA デザ イ ン ツールの
PR 機能について説明 し ます。
ザ イ リ ン ク ス FPGA デバ イ ス のパーシ ャ ル リ コ ン フ ィ ギ ュ レ ーシ ョ ン機能を使用す る には、 デザ イ ン仕様を注意深
く 解析 し 、 PR デザ イ ンの要件、 特性、 制限を考慮す る 必要があ り ます。 こ れに よ り 、 設計お よ びデバ ッ グ プ ロ セ ス
が簡潔にな り 、 デザ イ ンが不正に動作する リ ス ク を回避で き ます。
デザイ ン階層
適切な階層デザ イ ン を作成す る こ と に よ り 、 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン可能な FPGA デザ イ ン を イ ン プ
リ メ ン ト する 際の複雑 さ お よ び困難 さ の多 く を解決で き ます。デザ イ ン イ ン ス タ ン ス階層を明確に定義す る こ と に よ
り 、 物理制約お よ び タ イ ミ ン グ制約が簡略化 さ れ ます。 ス タ テ ィ ッ ク ロ ジ ッ ク と リ コ ン フ ィ ギ ャ ラ ブル ロ ジ ッ ク の
境界の信号に レ ジ ス タ を付け る と 、 タ イ ミ ン グ ク ロ ージ ャ を達成 し やす く な り ます。 同 じ 階層レベルに配置す る ロ
ジ ッ ク を グループ化する こ と も 必要です。
こ れ ら は よ く 知 ら れてい る デザ イ ン プ ラ ク テ ィ ス ですが、 通常の FPGA デザ イ ン では従われていない こ と が よ く あ
り ます。 こ れ ら のデザ イ ン規則に従 う こ と はパーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン デザ イ ンでは厳密には必要あ り
ませんが、 従わない と 悪影響が出 る 可能性が あ り ます。 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンの利点は大 き いです
が、 デザ イ ンが よ り 複雑にな る ので、 特にハー ド ウ ェ アでのデバ ッ グは困難です。
デザ イ ン階層の詳細は、 次を参照 し て く だ さ い。
•
『階層デザ イ ン設計手法ガ イ ド 』 (UG748) [参照 4]
•
『再現可能な結果を活用 し たデザ イ ンの保持』 (WP362) [参照 5]
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
29
デザイ ン階層
リ コ ン フ ィ ギ ャ ラ ブル モ ジ ュ ール内のデザイ ン エ レ メ ン ト
すべての ロ ジ ッ ク が リ コ ン フ ィ ギ ュ レーシ ョ ン可能なわけではあ り ません。 グ ロ ーバル ロ ジ ッ ク お よ び ク ロ ッ ク リ
ソ ース は ス タ テ ィ ッ ク 領域に配置 し 、 リ コ ン フ ィ ギ ュ レーシ ョ ン中 も 動作 し 、 フル デバ イ ス コ ン フ ィ ギ ュ レーシ ョ
ン後の初期化シーケ ン ス が適用 さ れ る よ う にする 必要があ り ます。
リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールに配置可能な ロ ジ ッ ク には、 次の も のがあ り ます。
•
FPGA の CLB ス ラ イ ス にマ ッ プ さ れてい る すべての ロ ジ ッ ク コ ン ポーネ ン ト 。 こ れには、 LUT (ル ッ ク ア ッ プ
テーブル)、 FF (フ リ ッ プ フ ロ ッ プ)、 SRL (シ フ ト レ ジ ス タ)、 RAM、 ROM が含まれます。
•
ブ ロ ッ ク RAM (BRAM) お よ び FIFO
°
RAMB18E1、 RAMB36E1、 BRAM_SDP_MACRO、 BRAM_SINGLE_MACRO、 BRAM_TDP_MACRO
°
FIFO18E1、 FIFO36E1、 FIFO_DUALCLOCK_MACRO、 FIFO_SYNC_MACRO
注記 : IN_FIFO お よ び OUT_FIFO デザ イ ン エ レ メ ン ト は RM には配置で き ません。 こ れ ら のデザ イ ン エ レ メ ン
ト は、 ス タ テ ィ ッ ク ロ ジ ッ ク に配置す る 必要があ り ます。
•
DSP ブ ロ ッ ク : DSP48E1
•
PCIe (PCI Express) : PCIe IP を使用 し て入力
次を含むその他の ロ ジ ッ ク はス タ テ ィ ッ ク ロ ジ ッ ク に配置 し 、 RM には配置 し ないで く だ さ い。
•
ク ロ ッ ク お よ び ク ロ ッ ク 調整 ロ ジ ッ ク : BUFG、 BUFR、 MMCM、 PLL な ど の コ ン ポーネ ン ト
•
I/O お よ び I/O 関連の コ ンポーネ ン ト (ISERDES、 OSERDES、 IDELAYCTRL な ど)
•
シ リ アル ト ラ ン シーバー (MGT) お よ び関連の コ ン ポーネ ン ト
•
アーキテ ク チ ャ 機能コ ンポーネ ン ト (BSCAN、 STARTUP、 XADC な ど)
DRP を使用 し たダ イ ナ ミ ッ ク リ コ ン フ ィ ギ ュ レーシ ョ ン
ス タ テ ィ ッ ク 領域に配置する 必要があ り 、 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンでは使用で き ない ロ ジ ッ ク も 、 ダ イ
ナ ミ ッ ク リ コ ン フ ィ ギ ュ レ ーシ ョ ン ポー ト (DRP) を使用 し て動的に リ コ ン フ ィ ギ ュ レーシ ョ ン で き ます。 DRP は、
MMCM、 PLL、 シ リ アル ト ラ ン シーバー (MGT) な ど の ロ ジ ッ ク エ レ メ ン ト を コ ン フ ィ ギ ュ レーシ ョ ンす る のに使用
で き ます。
特定のデザ イ ン リ ソ ース に対す る DRP の使用方法を含む DRP お よ びダ イ ナ ミ ッ ク リ コ ン フ ィ ギ ュ レーシ ョ ンの詳
細は、 次の資料を参照 し て く だ さ い。
•
『7 シ リ ーズ FPGA コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド 』 (UG470) [参照 1]
•
『7 シ リ ーズ FPGA GTX/GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476) [参照 10]
•
『MMCM お よ び PLL のダ イ ナ ミ ッ ク リ コ ン フ ィ ギ ュ レーシ ョ ン』 (XAPP888) [参照 11]
ロ ジ ッ ク のパ ッ ク
同 じ 階層レベルにパ ッ ク する 必要のあ る ロ ジ ッ ク は、 ス タ テ ィ ッ ク ま たは リ コ ン フ ィ ギ ャ ラ ブルにかかわ ら ず、 同 じ
グループに配置する 必要があ り ます。 た と えば、 LUT と フ リ ッ プ フ ロ ッ プ を同 じ ス ラ イ ス に配置す る には、 同 じ パー
テ ィ シ ョ ンに含め る 必要があ り ます。 パーテ ィ シ ョ ンの境界を超え る 最適化は実行 さ れません。
デザイ ン イ ン ス タ ン スの階層
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン を最上位に イ ン ス タ ン シエー ト す る のが最 も 簡単な方法ですが、こ れは必須で
はな く 、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンはど の階層レベルに も 配置で き ます。 各 リ コ ン フ ィ ギ ャ ラ ブル パー
テ ィ シ ョ ンは 1 つの イ ン ス タ ン ス に対応 し てい る 必要があ り ます。 RP に複数の最上位を使用す る こ と はで き ません。
イ ン ス タ ン シエーシ ョ ンには、 関連する モジ ュ ールが複数含まれます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
30
デザイ ン階層
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンのイ ン タ ー フ ェ イ ス
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン可能なデザ イ ンの基本的な要件の 1 つに、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール
間の一貫性があ り ます。 1 つのモジ ュ ールを別のモジ ュ ールに置 き 換え る ので、 ス タ テ ィ ッ ク デザ イ ン と リ コ ン フ ィ
ギ ャ ラ ブル モジ ュ ールの間の接続が、 論理的お よ び物理的に同一であ る 必要が あ り ま す。 こ の一貫性を達成す る た
め、 パーテ ィ シ ョ ン境界を越え る 最適化お よ び境界その も のの最適化は実行で き ません。
効率を最高にす る ため、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンのすべてのポー ト が ス タ テ ィ ッ ク デザ イ ン側でア ク
テ ィ ブに使用 さ れる よ う に し ます。 た と えば、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの ス タ テ ィ ッ ク ド ラ イ バーが定
数 (0 ま たは 1) で駆動 さ れる 場合、LUT イ ン ス タ ン ス を作成 し、 ローカルで定数 ド ラ イ バーに接続 し て イ ンプ リ メ ン ト
し 、 最適化で削除 さ れない よ う に し ます。 同様に、 未接続の出力が リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの出力にあ
る と 、 デザ イ ン全体に無駄が出ます。 こ れ ら の方法は イ ンプ リ メ ン テーシ ョ ン ツールで適用 さ れる よ う に し 、 デザ イ
ン アセンブ リ の段階ですべての リ コ ン フ ィ ギ ャ ラ ブル モジ ュールが同 じ ポー ト を持つよ う にする必要があ り ます。
合成後にすべての リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン の イ ン タ ーフ ェ イ ス を確認 し 、 定数ポー ト ま たは未接続の
ポー ト の数を で き る だけ少な く する よ う にする こ と をお勧め し ます。 無駄な ロ ジ ッ ク を な く す こ と に よ り 、 リ ソ ース
使用率が下が り 、 密集が軽減 さ れ、 タ イ ミ ン グ ク ロ ージ ャ を達成 し やす く な り ます。
パーテ ィ シ ョ ン イ ン タ ーフ ェ イ ス には、 次の 6 つの状況があ り ます。
1.
ス タ テ ィ ッ ク 側 と リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール側の両方がア ク テ ィ ブ ロ ジ ッ ク (パーテ ィ シ ョ ンの入力ま
たは出力)
こ れが最適な状況で、 パーテ ィ シ ョ ン ピ ンが挿入 さ れます。
パーテ ィ シ ョ ン入力が VCC ま たは GND で駆動 さ れ る 場合は、 こ れ ら の定数を リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ー
ルに含め る こ と をお勧め し ます。 こ れに よ り LUT の使用数が削減 さ れ、 イ ンプ リ メ ン テーシ ョ ン ツールに よ り
こ れ ら の定数を RM ロ ジ ッ ク と 共に最適化で き る よ う にな り ます。
2.
ス タ テ ィ ッ ク 側にはア ク テ ィ ブ ド ラ イ バーがあ る が、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールにはア ク テ ィ ブ ロ ー ド
がない (パーテ ィ シ ョ ンの入力)
すべての リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの I/O 要件が同 じ でない場合があ る ので、 こ の状況は許容 さ れます。
パーテ ィ シ ョ ン ピ ンが挿入 さ れ、 未使用の入力ポー ト は未接続の ま ま にな り ます。
た と えば、 1 つのモジ ュ ールで CLK_A が必要で、 2 番目のモジ ュ ールで CLK_B が必要な場合、 ク ロ ッ ク スパ イ
ンは リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの ク ロ ッ ク 領域にあ ら か じ め配線 さ れますが、モジ ュ ールは必要な ク
ロ ッ ク ソ ース のみを使用 し ます。
3.
ス タ テ ィ ッ ク 側にはア ク テ ィ ブ ロ ー ド があ る が、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールにはア ク テ ィ ブ ド ラ イ バー
がない (パーテ ィ シ ョ ンの出力)
こ の状況は 2 と 似てお り 、 許容 さ れます。 パーテ ィ シ ョ ン ピ ンが挿入 さ れ、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール
内でグ ラ ン ド (論理 0) に よ り 駆動 さ れます。
4.
ス タ テ ィ ッ ク 側にはア ク テ ィ ブ ド ラ イ バーがないが、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールにはア ク テ ィ ブ ロ ー ド
があ る (パーテ ィ シ ョ ンの入力)
こ の状況ではエ ラ ーが発生する ので、 パーテ ィ シ ョ ン イ ン タ ーフ ェ イ ス を変更 し て解決す る 必要があ り ます。
次に、 表示 さ れ る エ ラ ー メ ッ セージの例を示 し ます。
ERROR: [Opt 31-65] LUT input is undriven either due to a missing connection from
a design error, or a connection removed during opt_design.
こ のエ ラ ー メ ッ セージの後に、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール内の LUT イ ン ス タ ン ス が続 き ます。
5.
リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールにはア ク テ ィ ブ ド ラ イ バーがあ る が、 ス タ テ ィ ッ ク 側にはア ク テ ィ ブ ロ ー ド
がない (パーテ ィ シ ョ ンの出力)
こ の状況ではエ ラ ーは発生 し ませんが、 最適な状況ではあ り ません。 パーテ ィ シ ョ ン ピ ンは挿入 さ れません。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
31
グローバル ク ロ ッ ク の規則
6.
ス タ テ ィ ッ ク 側 と リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール側の ど ち ら に も パーテ ィ シ ョ ン ポー ト 用の ド ラ イ バーま た
は ロ ー ド がない (パーテ ィ シ ョ ンの入力ま たは出力)
何 も 挿入 さ れず、 使用 さ れないので、 不効率な イ ンプ リ メ ン テーシ ョ ンは作成 さ れませんが、 イ ン ス タ ン シエー
シ ョ ン ポー ト リ ス ト の面では不要です。
グ ローバル ク ロ ッ クの規則
特定の リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの各 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの ク ロ ッ ク 情報は最初の イ ンプ
リ メ ン テーシ ョ ンの時点では不明なので、 PR ツールに よ り その RP 上のパーテ ィ シ ョ ン ピ ン を駆動す る 各 BUFG 出
力が Pblock に含まれ る すべての ク ロ ッ ク 領域にあ ら か じ め配線 さ れます。 こ れはつま り 、 RP にその ク ロ ッ ク 領域の
ロ ー ド があ る か ど う かにかかわ ら ず、 ス タ テ ィ ッ ク ロ ジ ッ ク でそれ ら の ク ロ ッ ク 領域の ク ロ ッ ク ス パ イ ン を使用で
き ない可能性があ る と い う こ と です。
7 シ リ ーズ デバ イ スでは、最大 12 個ま での ク ロ ッ ク スパ イ ンが各 ク ロ ッ ク 領域への事前配線に使用 さ れる 可能性があ
り ます。 ス タ テ ィ ッ ク ロ ジ ッ ク お よび リ コ ン フ ィ ギ ャ ラ ブル ロ ジ ッ ク の両方で こ の制限を考慮す る 必要があ り ます。
た と えば、 ス タ テ ィ ッ ク ロ ジ ッ ク 用に ク ロ ッ ク 領域に 3 つのグ ローバル ク ロ ッ ク が配線 さ れ る場合、 こ れ ら の 3 つの
最上位 ク ロ ッ ク に加え、 その ク ロ ッ ク 領域を含む RP で 9 個のグ ローバル ク ロ ッ ク を使用で き る と い う こ と です。
図 3-1 に示す例では、 icap_clk が配置前に ク ロ ッ ク 領域 X0Y1、 X0Y2、 お よ び X0Y3 に配線 さ れ、 ス タ テ ィ ッ ク ロ
ジ ッ ク でその領域のその他の ク ロ ッ ク スパ イ ン を使用で き ます。
X-Ref Target - Figure 3-1
図 3-1 : グローバル ク ロ ッ クか ら リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンへの事前配線
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
32
パーテ ィ シ ョ ン ピ ンの配置
RP を駆動す る グ ロ ーバル ク ロ ッ ク の数が多い場合は、 ク ロ ッ ク 領域を完全に含むエ リ ア グループ を作成 し 、 ス タ
テ ィ ッ ク ロ ジ ッ ク を配置お よ び配線 し やす く す る よ う にす る こ と をお勧め し ます。
パーテ ィ シ ョ ン ピ ンの配置
RP の各ピ ンにはパーテ ィ シ ョ ン ピ ン (PartPin) があ り ます。デフ ォ ル ト では、 こ れ ら の PartPin はツールに よ り 自動的
に RP Pblock 範囲 (必須) 内に配置 さ れます。 多 く の場合、 こ の自動配置で十分ですが、 タ イ ミ ン グ ク リ テ ィ カルな イ
ン タ ーフ ェ イ ス信号や密集の高いデザ イ ンでは、 PartPin の配置を制御する 必要があ る 場合があ り ます。 こ れには、 い
く つかの方法があ り ます。
•
ピ ンの一部ま たはすべての HD.PARTPIN_RANGE 制約を定義 し ます。
set_property HD.PARTPIN_RANGE {SLICE_Xx0Yx0:SLICE_Xx1Yy1
SLICE_XxNYyN:SLICE_XxMYyM} [get_pins <rp_cell_name>/*]
デフ ォ ル ト では、 HD.PARTPIN_RANGE は Pblock 範囲全体に設定 さ れ ます。 ユーザー範囲を定義す る こ と に よ
り 、 PartPin が指定のエ リ アに配置 さ れ、 タ イ ミ ン グ を向上 し た り 、 密集を緩和 し た り で き ます。
重要 : PartPin の自動配置では、 PartPin は Pblock 矩形の角に配置 さ れ る のがほ と ん ど です。 こ れ ら の角位置では、 RP
Pblock の CONTAIN_ROUTING プ ロ パテ ィ に よ り 、 リ ソ ース が限 ら れます。 Pblock の角付近で配線の密集が発生 し て
い る 場合は、 角サ イ ト を含ま ない HD.PARTPIN_RANGE を追加す る と 有益な場合があ り ます。
•
デフ ォル ト では、 イ ン タ ーコ ネ ク ト (INT) タ イ ルご と に最大 5 個の PartPin が配置 さ れます。 こ の最大数は、
hd.maxPPLOCSPerIntTile と い う パ ラ メ ー タ ーを使用 し て変更で き ます。
set_param hd.maxPPLOCSPerIntTile 3
値を 3 に設定す る と 、 INT タ イ ルご と に配置 さ れ る PartPin は 3 つま でに制限 さ れ、 RP イ ン タ ーフ ェ イ ス周辺の
密集を緩和で き ます。
重要 : RP イ ン タ ーフ ェ イ ス の PartPin の数が多すぎ る 場合、 こ のパ ラ メ ー タ ーで定義 さ れた最大数を超え る こ と があ
り ます。 RP イ ン タ ーフ ェ イ ス の ピ ン数を最小限に抑え る よ う に し て く だ さ い。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
33
ア ク テ ィ ブ Low の リ セ ッ ト と ク ロ ッ ク イ ネーブル
ア ク テ ィ ブ Low の リ セ ッ ト と ク ロ ッ ク イ ネーブル
ザ イ リ ン ク ス 7 シ リ ーズ アーキ テ ク チ ャ には、 制御信号 ( リ セ ッ ト ま たは ク ロ ッ ク イ ネーブル) に ロ ーカル イ ンバー
タ ーはあ り ません。 次は リ セ ッ ト を例 と し た説明ですが、 同 じ 説明が ク ロ ッ ク イ ネーブルに も 適用 さ れます。
デザ イ ンがア ク テ ィ ブ Low リ セ ッ ト を使用す る 場合、 LUT を使用 し て信号を反転す る 必要があ り ます。 すべての リ
セ ッ ト がア ク テ ィ ブ Low の PR 以外のデザ イ ン では、複数の LUT が推論 さ れますが、1 つの LUT に組み合わせて I/O
エ レ メ ン ト に挿入で き ます (LUT はな く な る )。 ア ク テ ィ ブ High と ア ク テ ィ ブ Low の リ セ ッ ト が使用 さ れ る PR 以外
のデザ イ ンでは、 LUT イ ンバー タ ーを 1 つの LUT に組み合わせる こ と がで き 、 その LUT がデザ イ ンに残 り ますが、
リ セ ッ ト ネ ッ ト の配線お よ び タ イ ミ ン グ にはほ と ん ど 影響あ り ません (LUT の出力はグ ロ ーバル リ ソ ース に配置 さ
れ る )。ただ し 、パーテ ィ シ ョ ンにア ク テ ィ ブ Low リ セ ッ ト が使用 さ れ る デザ イ ンでは、パーテ ィ シ ョ ン内で イ ンバー
タ ーを推論 さ せる こ と が可能ですが、 取 り 出 し て組み合わせ る こ と はで き ません。 そのため、 リ セ ッ ト を グ ロ ーバル
リ ソ ース に配置する こ と は不可能で、 リ セ ッ ト の タ イ ミ ン グが悪 く な り 、 デザ イ ンに既に密集があ る 場合は配線の問
題が発生する 可能性があ り ます。
こ の状況を回避す る には、 ア ク テ ィ ブ Low の制御信号を使用 し ない よ う に し ます。 ただ し 、 AXI イ ン タ ーフ ェ イ ス
を含む IP コ ア を使用す る 場合な ど、 こ れが不可能な場合 も あ り ます。 その場合、 ア ク テ ィ ブ Low の リ セ ッ ト を最上
位の信号に割 り 当て、 その信号をデザ イ ン全体で使用 し ます。
次に例を示 し ます。
reset_n <= !reset;
すべてに reset_n 信号を使用 し 、 !reset を信号ま たはポー ト に割 り 当てないで く だ さ い。
こ れに よ り 、 デザ イ ン全体の リ セ ッ ト ネ ッ ト にのみ LUT が推論 さ れ、 デザ イ ン パフ ォーマン スへの影響が最小限に
抑え ら れます。
デ カ ッ プ リ ン グ機能
リ コ ン フ ィ ギ ャ ラ ブル ロ ジ ッ ク は FPGA デバ イ ス が動作中に変更 さ れ る ので、 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ
ン中は、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの出力に接続 さ れてい る ス タ テ ィ ッ ク ロ ジ ッ ク で リ コ ン フ ィ ギ ャ ラ ブル
モジ ュ ールか ら のデー タ を無視す る 必要が あ り ま す。 パーシ ャ ル リ コ ン フ ィ ギ ュ レ ーシ ョ ン が完了 し 、 リ コ ン フ ィ
ギ ュ レーシ ョ ン さ れた ロ ジ ッ ク が リ セ ッ ト さ れ る ま で、リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールは有効なデー タ を出力 し ま
せん。 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの機能を予測ま たはシ ミ ュ レーシ ョ ンす る 方法はあ り ません。
デカ ッ プ リ ン グ ス ト ラ テジ を ど の よ う に解決す る かは、 設計者が決定 し ます。 こ の問題を回避す る 最 も 一般的な方法
は、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールか ら のすべての出力信号 ( イ ン タ ーフ ェ イ ス の ス タ テ ィ ッ ク 側) に レ ジ ス タ を
付け る こ と です。 リ コ ン フ ィ ギ ュ レーシ ョ ンが完了す る ま で ロ ジ ッ ク を分離す る には、 イ ネーブル信号を使用で き ま
す。 ほかに、 各出力ポー ト に単純な 2:1 マルチプ レ ク サーを付け る 方法、 高度なバ ス コ ン ト ロ ー ラ ー フ ァ ン ク シ ョ ン
を使用する 方法な ど があ り ます。
ス タ テ ィ ッ ク デザ イ ンには、 デー タ お よ び イ ン タ ーフ ェ イ ス の管理に必要な ロ ジ ッ ク を含め る 必要があ り ます。 ハン
ド シ ェ ー ク や イ ン タ ーフ ェ イ ス のデ ィ ス エーブル (バ ス構造で無効な ト ラ ンザ ク シ ョ ン を回避す る ために必要な場合
あ り ) な ど の メ カ ニズ ム を イ ン ス ト ールで き ます。 ま た、 PR モジ ュ ールのダ ウ ン タ イ ム パフ ォーマン ス の影響 ( リ コ
ン フ ィ ギ ュ レーシ ョ ン中ま たは リ コ ン フ ィ ギ ュ レーシ ョ ン後に PR モジ ュ ールに含まれ る 共有 リ ソ ース が使用で き な
い) を考慮す る と 有益です。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
34
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの Pblock のサイズ と 形状
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの Pblock のサ
イ ズ と 形状
第 2 章の 「 リ コ ン フ ィ ギ ュ レーシ ョ ン後に リ セ ッ ト を適用」 で説明 し た よ う に、 RESET_AFTER_RECONFIG を使用
す る 場合は、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン の高 さ が ク ロ ッ ク 領域の境界に揃 っ て い る こ と が必要です。
RESET_AFTER_RECONFIG を使用 し ない場合は、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン の高 さ は任意に選択で き
ます。
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの幅 も 、 イ ン タ ー コ ネ ク ト お よ び ク ロ ッ ク リ ソ ース を効率的に使用で き る よ
う に適切に設定する 必要があ り ます。 Pblock の矩形の左辺お よ び右辺は、 イ ン タ ー コ ネ ク ト 列 (INT-INT) の間ではな
く 、 2 つの リ ソ ース列 (CLB-CLB、 CLB-BRAM、 CLB-DSP な ど) の間に配置す る 必要があ り ます。 こ れに よ り 、 配置
配線ツールで ス タ テ ィ ッ ク ロ ジ ッ ク お よ び リ コ ン フ ィ ギ ャ ラ ブル ロ ジ ッ ク の両方にすべての リ ソ ース が活用 さ れ ま
す。 こ の手法に従っ ていない場合、 イ ンプ リ メ ン テーシ ョ ン DRC に よ り ガ イ ダ ン ス が提供 さ れます。
図 3-2 に示す白でハ イ ラ イ ト さ れた Pblock では、 左辺 と 右辺が CLB 列の間に描かれてい ます。 イ ン タ ー コ ネ ク ト タ
イ ルを表示する には、 [Device] ビ ュ ーで
ボ タ ン を ク リ ッ ク し て [Routing Resurces] を オンにす る 必要があ り ます。
X-Ref Target - Figure 3-2
図 3-2 : リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン Pblock の左辺 と 右辺の両方が CLB 列の間にある (適切な配置)
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン Pblock には、 描画 し た形状の中にすべての リ コ ン フ ィ ギ ャ ラ ブル エ レ メ ン ト
タ イ プ を含め る 必要があ り ます。 つま り 、 選択 し た矩形に CLB (ス ラ イ ス )、 BRAM、 お よ び DSP エ レ メ ン ト が含 ま
れ る 場合、 3 つの タ イ プすべてが Pblock に含 ま れてい る 必要があ り ます。 1 つで も 欠けてい る と 、 DRC に よ り イ ン
タ ーコ ネ ク ト 分割状況が検出 さ れた こ と が レ ポー ト さ れます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
35
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの Pblock のサイズ と 形状
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン が、 中央列の ク ロ ッ ク リ ソ ー ス や コ ン フ ィ ギ ュ レ ーシ ョ ン コ ン ポーネ ン ト
(ICAP、 BSCAN) な ど の リ コ ン フ ィ ギ ュ レーシ ョ ン不可能なサ イ ト を含む場合や、 I/O な ど の リ コ ン フ ィ ギ ュ レーシ ョ
ン不可能な コ ンポーネ ン ト に隣接 し てい る 場合は、 ほかに も 考慮事項があ り ます。 Pblock のいずれかの辺が異な る リ
ソ ース タ イ プの イ ン タ ー コ ネ ク ト 列を分割す る 場合、 イ ンプ リ メ ン テーシ ョ ン ツールに よ り こ の レ イ ア ウ ト は許容
さ れますが、 境界の各辺の列への配置が制限 さ れます。 こ れが必要なサ イ ト で問題 と な る 場合は、 Pblock を複数の矩
形に分割 し 、 リ コ ン フ ィ ギ ャ ラ ブル ロ ジ ッ ク の リ ソ ース使用を明確に定義す る 必要があ り ます。
た と えば、 図 3-3 に示す初期フ ロ アプ ラ ンには、 ク ロ ッ ク バ ッ フ ァ ー リ ソ ース (BUFHCE) を含む中央列が含まれて
い ます。こ れ ら の リ ソ ースは、図 3-3 でハ イ ラ イ ト さ れてお ら ず、Pblock ブ ロ ッ ク に含まれていない こ と がわか り ます。
X-Ref Target - Figure 3-3
図 3-3 : リ コ ン フ ィ ギ ャ ラ ブル不可能なサイ ト を含む Pblock
イ ンプ リ メ ン テーシ ョ ン ツールでは、 中央列に沿っ た連続 し た イ ン タ ー コ ネ ク ト サ イ ト の両側への配置が自動的に
回避 さ れ る ので、 デザ イ ンで こ れ ら の ク ロ ッ ク リ ソ ース が必要な場合に問題 と な り ます。 こ の制限を回避す る には、
図 3-4 に示す よ う に、 複数の Pblock 矩形を作成 し て イ ン ター コ ネ ク ト 列が分割 さ れない よ う に し ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
36
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの Pblock のサイズ と 形状
X-Ref Target - Figure 3-4
図 3-4 : リ コ ン フ ィ ギ ャ ラ ブル不可能な リ ソ ース を含めないよ う に複数の Pblock 矩形を作成
図 3-5 に分割部分を拡大 し 、 ス ラ イ ス (CLB) と イ ン タ ー コ ネ ク ト (INT) リ ソ ース タ イ プを示 し ます。 2 つの Pblock 矩
形の間のギ ャ ッ プに よ り 、 BUFHCE コ ン ポーネ ン ト に完全にア ク セ ス で き 、 ス タ テ ィ ッ ク リ ソ ース を使用 し て完全
に配線で き ます。 ま た、 CLB の 1 つの列を ス タ テ ィ ッ ク デザ イ ンで使用で き ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
37
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの Pblock のサイズ と 形状
X-Ref Target - Figure 3-5
図 3-5 : ク ロ ッ ク配線用に予約 さ れた列
T 形や L 形な ど の形状のパーテ ィ シ ョ ン も 使用で き ますが、 全体的な形状はで き る だけシ ンプルにす る こ と をお勧め
し ます。 その よ う な領域の配置配線は、 配線 リ ソ ース を完全に こ れ ら の領域に収め る 必要があ る ので、 困難にな る こ
と があ り ます。 パーテ ィ シ ョ ンの境界を接触 さ せ る こ と はで き ますが、 多少ギ ャ ッ プがあ る 方が配線制限が発生す る
可能性を回避で き ます。 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン を ネ ス ト さ せた り ( コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ
ン内に別の リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン を含め る )、 重ねた り す る こ と はで き ません。
最後に、 物理的な リ コ ン フ ィ ギ ャ ラ ブル フ レーム 1 つに対 し て 1 つの リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン し か存
在 さ せ る こ と はで き ま せん。 リ コ ン フ ィ ギ ャ ラ ブル フ レ ームは、 リ コ ン フ ィ ギ ュ レーシ ョ ン可能な最小の物理領域
で、 ク ロ ッ ク 領域の境界に揃え ら れてい ます。 リ コ ン フ ィ ギ ャ ラ ブル フ レーム に複数の リ コ ン フ ィ ギ ャ ラ ブル パー
テ ィ シ ョ ンか ら の ロ ジ ッ ク を含め る こ と はで き ません。複数の リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンか ら の ロ ジ ッ ク
を含め る と 、間違っ た リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールか ら の情報で領域が リ コ ン フ ィ ギ ュ レーシ ョ ン さ れて し ま う
可能性があ り 、 競合が発生す る 原因 と な り ます。 Vivado® ツールは、 こ の よ う な リ ス ク の高い状況を回避す る よ う に
設計 さ れてい ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
38
ブラ ッ ク ボッ クス
ブラ ッ ク ボッ クス
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン ツールでは、 ブ ラ ッ ク ボ ッ ク ス を リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール と し て直
接 イ ンプ リ メ ン ト する こ と はま だ不可能です。 ただ し 、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンに対 し て
update_design -black_box を実行 し た後、 フル BIT フ ァ イ ルお よ びパーシ ャ ル BIT フ ァ イ ルを生成で き ます。
ブ ラ ッ ク ボ ッ ク ス には、 デザ イ ンの論理表現に含ま れ る ユーザー ロ ジ ッ ク は含まれませんが、 物理領域は完全に空
ではあ り ません。 その領域を通過す る ス タ テ ィ ッ ク 配線 (パーテ ィ シ ョ ン ピ ン ノ ー ド ま での イ ン タ ーフ ェ イ ス ネ ッ
ト を含む) は、 こ の領域に存在 し ます。 こ れ ら の信号のプ ロ グ ラ ム情報は、 ブ ラ ッ ク ボ ッ ク ス のプ ロ グ ラ ム ビ ッ ト ス
ト リ ームに含まれます。
ブ ラ ッ ク ボ ッ ク ス の使用は、 フル コ ン フ ィ ギ ュ レーシ ョ ン BIT フ ァ イ ルのサ イ ズお よ びコ ン フ ィ ギ ュ レーシ ョ ン時
間を 削減す る 効果的な方法です。 圧縮オプシ ョ ン を オ ン に し て、 BIT フ ァ イ ルのサ イ ズ を削減で き ま す。 こ のオプ
シ ョ ン では、 コ ン フ ィ ギ ュ レ ーシ ョ ン フ レ ーム構造の繰 り 返 し を検索 し 、 BIT フ ァ イ ルに保存す る 必要のあ る コ ン
フ ィ ギ ュ レーシ ョ ン デー タ の量を削減 し ます。 こ れに よ り 、 コ ン フ ィ ギ ュ レーシ ョ ン時間お よ び リ コ ン フ ィ ギ ュ レー
シ ョ ン時間が短縮 さ れ ま す。 圧縮オプシ ョ ン を配線済み PR デザ イ ン に適用す る と 、 フ ル BIT フ ァ イ ルお よ びパー
シ ャ ル BIT フ ァ イ ルすべてが圧縮 BIT フ ァ イ ル と し て作成 さ れ ます。 圧縮を オ ン にす る には、 write_bitstream
を実行する 前に次のプ ロ パテ ィ を設定 し ます。
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
イ ン プ リ メ ン テーシ ョ ン ス ト ラ テ ジ
FPGA デザ イ ンの最適化には、 常に ト レー ド オフ があ り ます。 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン も 例外ではあ り
ません。 パーテ ィ シ ョ ン を越え る 最適化は実行 さ れず、 リ コ ン フ ィ ギ ャ ラ ブル フ レームには特定の レ イ ア ウ ト 制約が
必要です。 こ れ ら は、 リ コ ン フ ィ ギ ュ レーシ ョ ン デザ イ ン を構築する 際の追加の コ ス ト です。 タ イ ミ ン グお よ びエ リ
アの追加のオーバーヘ ッ ド は、 デザ イ ンに よ っ て異な り ます。 影響を最小限に抑え る には、 こ のガ イ ド に示 さ れてい
る デザ イ ン ガ イ ド ラ イ ンに従い ます。
リ コ ン フ ィ ギ ャ ラ ブル デザ イ ン の コ ン フ ィ ギ ュ レーシ ョ ン を構築す る 際、 最初に イ ン プ リ メ ン ト す る コ ン フ ィ ギ ュ
レーシ ョ ンが最 も 困難な も のであ る よ う に し ます。選択 し た物理領域に、各 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの
各 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ール用に十分な リ ソ ース (特に BRAM、 DSP48 な ど) があ る こ と を確認 し 、 各 RP で
タ イ ミ ン グ ま たはエ リ アに関 し て最 も 困難な RM を選択 し ます。その後の コ ン フ ィ ギ ュ レーシ ョ ンの RM がすべて最
初の RM よ り 小型ま たは低速であれば、 要件を満たすのが楽にな り ま す。 タ イ ミ ン グ バジ ェ ッ ト は、 すべての リ コ
ン フ ィ ギ ャ ラ ブル モジ ュ ールの要件を満たす よ う に決定す る 必要があ り ます。
ど の リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールが最 も 困難かが判断 し に く い場合は、各モジ ュ ールを ス タ テ ィ ッ ク と 共に イ ン
プ リ メ ン ト し 、 それぞれに対 し て ス タ テ ィ ッ ク を配置配線で き ます。 リ ソ ース使用率統計お よ び タ イ ミ ン グ レ ポー ト
を確認 し 、 ど の コ ン フ ィ ギ ュ レーシ ョ ンがデザ イ ン要件を最 も 簡単に満た し てい る か、 許容誤差が最 も 厳 し いのはど
れか、 最 も 大き いマージ ンで タ イ ミ ン グ を満た し ていないのはどれかを調べます。
重要 : 要件 と の差が最 も 大 き い コ ン フ ィ ギ ュ レーシ ョ ンに焦点を置 き 、要件が満た さ れ る ま でデザ イ ン ソ ース、制約、
お よ びス ト ラ テジ を反復実行 し ます。 あ る 時点で、 1 つの コ ン フ ィ ギ ュ レーシ ョ ン を ス タ テ ィ ッ ク デザ イ ンに使用す
る 結果 と 決定する 必要があ り ます。ス タ テ ィ ッ ク ロ ジ ッ ク のその イ ンプ リ メ ン テーシ ョ ン を ほかの コ ン フ ィ ギ ュ レー
シ ョ ンすべてで使用 し ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
39
イ ン プ リ メ ン テーシ ョ ン ス ト ラ テ ジ
イ ン プ リ メ ン テーシ ョ ン要件の作成
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンの イ ンプ リ メ ン テーシ ョ ン では、 基本的な規則に従 う 必要があ り ます。 こ れ ら
の規則は、 パーシ ャ ル ビ ッ ト ス ト リ ーム を正 し く 作成 し 、 ア ク テ ィ ブ FPGA に安全に読み込む こ と がで き る よ う に
する ための も のです。 こ れ ら の規則では、 次を前提 と し てい ます。
•
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンの論理および物理イ ン タ ーフ ェ イ スは、 各 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ー
ルを イ ンプ リ メ ン ト し た と き に一貫 し てい る 必要があ り ます。
•
リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの ロ ジ ッ ク お よ び配線は、 物理領域内に完全に含まれてい る 必要があ り 、 こ れ
がパーシ ャ ル ビ ッ ト ス ト リ ームに変換 さ れます。
•
専用初期化機能を使用する 場合は、ス タ テ ィ ッ ク デザ イ ンの ロ ジ ッ ク は リ コ ン フ ィ ギ ャ ラ ブル領域に含めない よ
う にする 必要があ り ます。
こ れ ら の要件に よ り 、 最適化、 配置、 お よ び配線において特定の イ ンプ リ メ ン テーシ ョ ン規則が必要にな り ます。 こ
れ ら の規則を適用する こ と に よ り 、タ イ ミ ン グ ク ロ ージ ャ を含むデザ イ ン要件を満たすのが困難にな る 場合があ り ま
す。 こ れ ら の要件を 1 つずつ設定 し 、 各手順で結果を解析す る こ と をお勧め し ま す。 最 も 困難な コ ン フ ィ ギ ュ レ ー
シ ョ ン と タ イ ミ ン グ制約すべてか ら 開始 し 、 デザ イ ンの イ ンプ リ メ ン テーシ ョ ン を配置配線ま で実行 し て結果を解析
し て、 次の手順に進むのに十分な タ イ ミ ン グ ス ラ ッ ク と リ ソ ース があ る こ と を確認 し ます。
1.
まず、 デザ イ ン を Pblock な し で イ ンプ リ メ ン ト し ます。 ボ ト ム ア ッ プ合成を使用 し て、 境界に レ ジ ス タ を付け
る 、 ベース ラ イ ン結果を達成する な ど、 通常の階層デザ イ ンの推奨事項に従い ます。
2.
リ コ ン フ ィ ギ ャ ラ ブル と マー ク する 予定のデザ イ ン パーテ ィ シ ョ ンに Pblock を追加 し ます。 こ の フ ロ アプ ラ ン
は、 手順 1 のボ ト ム ア ッ プ合成の結果に基づいて指定で き ます。 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールか ら の ロ ジ ッ
ク は Pblock に配置す る 必要があ り ますが、 ス タ テ ィ ッ ク ロ ジ ッ ク も 配置で き ます。
こ れ ら の Pblock を作成す る 際、 PR 特定のデザ イ ン ルール チ ェ ッ ク を実行す る ため、 HD.RECONFIGURABLE プ
ロ パテ ィ (お よ びオプシ ョ ンで RESET_AFTER_RECONFIG プ ロ パテ ィ ) を一時的に追加で き ます。 こ れに よ り 、
作成 し た フ ロ アプ ラ ンが PR のサ イ ズお よ びア ラ イ メ ン ト 要件を満た し てい る かを確認で き ます。
3.
フ ロ アプ ラ ン を作成 し た ら 、 Pblock に EXCLUSIVE_PLACEMENT プ ロ パテ ィ を追加 し て ス タ テ ィ ッ ク デザ イ ン
リ ソ ース の配置を リ コ ン フ ィ ギ ャ ラ ブル リ ソ ース を分離 し ます。 こ れに よ り 、 ス タ テ ィ ッ ク ロ ジ ッ ク が確実に
Pblock 外に配置 さ れます。
4.
Pblock に CONTAIN_ROUTING プ ロ パテ ィ を適用 し て、 リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの配線が Pblock 内に
制限 さ れ る よ う に し ます。 こ の手順 と 前の手順のプ ロ パテ ィ を設定 し た ら 、 残 り の規則は境界の最適化 と PR 特
定のデザ イ ン ルール チ ェ ッ ク に関連す る も ののみです。
5.
最後に、 リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン Pblock に HD.RECONFIGURABLE を設定 し て リ コ ン フ ィ ギ ャ ラ
ブル と マー ク し ます。 EXCLUSIVE_PLACEMENT お よ び CONTAIN_ROUTING プ ロ パテ ィ は重複 と な る ので、 削
除で き ます。
いずれかの手順でデザ イ ン要件が満た さ れない場合は、 新たに適用 し た イ ンプ リ メ ン テーシ ョ ン条件を踏ま え てデザ
イ ン構造 と 制約を見直す こ と がで き ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
40
デザイ ン リ ビ ジ ョ ン チ ェ ッ ク
デザイ ン リ ビ ジ ョ ン チ ェ ッ ク
第 4 章 「FPGA デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン」 で説明す る よ う に、 パーシ ャ ル ビ ッ ト ス ト リ ームにはプ ロ グ ラ
ム情報以外の も のはほ と んど含まれません。 BIT フ ァ イ ルの一部であ る ア ド レ ス指定に よ り ダ イ の位置が決定 さ れ る
でビ ッ ト ス ト リ ームの タ ーゲ ッ ト 位置を特定する 必要はあ り ませんが、パーシ ャ ル ビ ッ ト ス ト リ ームが現在動作中の
デザ イ ン と 互換性があ る かど う かをチ ェ ッ ク する 機能はハー ド ウ ェ アにはあ り ません。パーシ ャ ル ビ ッ ト ス ト リ ーム
を その リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの リ ビ ジ ョ ン と 共に イ ンプ リ メ ン ト さ れていない ス タ テ ィ ッ ク デザ イ ンに
読み込む と 、 予測不可能な動作が発生する こ と があ り ます。
パーシ ャ ル ビ ッ ト ス ト リ ームにデザ イ ン、 リ ビ ジ ョ ン、 モジ ュ ールを識別す る 接頭辞を付け る こ と をお勧め し ます。
コ ン フ ィ ギ ュ レーシ ョ ン コ ン ト ロ ー ラ ーで こ の識別子を読み取 り 、 パーシ ャ ル ビ ッ ト ス ト リ ームが動作中のデザ イ
ン と 互換性があ る こ と を確認する よ う にする こ と がで き ます。互換性のないパーシ ャ ル ビ ッ ト ス ト リ ームが読み込ま
れ る と 検出 さ れ、 コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ に読み込まれ る 前に拒否 さ れます。 こ の機能をデザ イ ンの一部 と し
て含め る 必要があ り 、 『PRC/EPRC : パーシ ャ ル リ コ ン フ ィ ギ ュ レ ーシ ョ ンのデー タ イ ン テ グ リ テ ィ お よ びセキ ュ リ
テ ィ コ ン ト ロー ラ ー』 (XAPP887) [参照 12] に説明 さ れてい る 暗号化ま たは CRC チ ェ ッ ク と 同様の も のか、 それ ら と
組み合わせた も のにする こ と がで き ます。
ビ ッ ト ス ト リ ーム機能は、 デザ イ ン リ ビ ジ ョ ンに タ グ をつけ る のに単純な メ カ ニズ ム を提供 し ます。
BITSTREAM.CONFIG.USR_ACCESS プ ロ パテ ィ を使用す る と 、 リ ビ ジ ョ ン ID を直接ビ ッ ト ス ト リ ームに入力で き ま
す。 こ の ID は USR_ACCESS レ ジ ス タ に配置 さ れ、 FPGA フ ァ ブ リ ッ ク か ら 同 じ 名前の ラ イ ブ ラ リ プ リ ミ テ ィ ブ を
使用 し て ア ク セ ス で き ます。 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン デザ イ ンで こ の値を読み出 し 、 パーシ ャ ル ビ ッ
ト ス ト リ ームの情報 と 比較 し て、 デザ イ ンの リ ビ ジ ョ ンが一致 し てい る こ と を確認で き ます。 こ のオプシ ョ ンの詳細
は、 『Vivado Design Suite ユーザー ガ イ ド : プ ロ グ ラ ムお よ びデバ ッ グ』 (UG908) [参照 13] の 「デバ イ ス コ ン フ ィ ギ ュ
レ ーシ ョ ン ビ ッ ト ス ト リ ー ム設定」 付録お よ び 『USR_ACCESS を使用す る ビ ッ ト ス ト リ ーム認識』 (XAPP497) [参
照 14] を参照 し て く だ さ い。
注意 : TIMESTAMP 機能は使用 し な い で く だ さ い。 こ の値は write_bitstream の各実行で一貫 し て い ま せん。
write_bitstream のすべての実行で、 一貫 し た明示的な ID のみを使用 し て く だ さ い。
シ ミ ュ レーシ ョ ンお よび検証
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン デザ イ ンの コ ン フ ィ ギ ュ レ ーシ ョ ンは、 それ自体で完全なデザ イ ン です。 す
べての標準シ ミ ュ レーシ ョ ン、 タ イ ミ ン グ解析、 お よ び検証手法が PR デザ イ ンでサポー ト さ れてい ます。 パーシ ャ
ル リ コ ン フ ィ ギ ュ レーシ ョ ンのみを シ ミ ュ レーシ ョ ンす る こ と はで き ません。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
41
第 4章
FPGA デバイ スの コ ン フ ィ ギ ュ レーシ ョ ン
コ ン フ ィ ギ ュ レーシ ョ ンの概要
こ の章では、FPGA デバ イ ス をパーシ ャ ル BIT フ ァ イ ルで コ ン フ ィ ギ ュ レーシ ョ ンす る 際のシ ス テ ム デザ イ ンに関す
る 考慮事項 と 、 パーシ ャ ル リ コ ン フ ィ ギ ュ レ ーシ ョ ン に有益な FPGA のアーキ テ ク チ ャ 機能について説明 し ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンはほ と ん ど の点で標準の コ ン フ ィ ギ ュ レーシ ョ ン と 同 じ なので、こ のセ ク シ ョ
ンでは PR 特定の詳細についてのみ説明 し ます。
パーシ ャ ル ビ ッ ト ス ト リ ーム を読み込むには、 SelectMAP、 シ リ アル、 JTAG、 ま たは ICAP (内部 コ ン フ ィ ギ ュ レ ー
シ ョ ン ア ク セ ス ポー ト ) のいずれかの コ ン フ ィ ギ ュ レーシ ョ ン ポー ト を使用で き ます。Zynq®-7000 AP SoC デバ イ ス
では、 JTAG ま たは PCAP (プ ロ セ ッ サ コ ン フ ィ ギ ュ レーシ ョ ン ア ク セ ス ポー ト ) を使用 し てパーシ ャ ル ビ ッ ト ス ト
リ ーム を読み込みます。
注記 : Zynq デバ イ ス を ICAP を使用 し てパーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンす る 必要があ る 場合は、ザ イ リ ン ク ス
サポー ト にご連絡 く だ さ い。
パーシ ャ ル BIT フ ァ イ ルを読み込むのに SelectMAP ま たはシ リ アル モー ド を使用する には、 こ れ ら のピ ン を初期デバ
イ ス コ ン フ ィ ギ ュ レーシ ョ ン後に使用で き る よ う 予約す る 必要があ り ます。 こ れには BITSTREAM.CONFIG.PERSIST
プ ロ パテ ィ を使用 し 、多目的 I/O を コ ン フ ィ ギ ュ レーシ ョ ンで使用する よ う 保持 し、 コ ン フ ィ ギ ュ レーシ ョ ン幅を設定
し ます。 詳細および構文は、 『Vivado Design Suite ユーザー ガ イ ド : プ ロ グ ラ ムおよ びデバ ッ グ』 (UG908) [参照 13] を参
照し て く だ さ い。
set_property BITSTREAM.CONFIG.PERSIST <value> [current_design]
<value> に指定可能な値は、 No、 Yes、 CTLReg、 X1、 X8、 X16、 X32、 SPI1、 SPI2、 SPI4、 BPI8、 BPI のいずれかです。
パーシ ャ ル ビ ッ ト ス ト リ ームには、 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンに必要な コ ン フ ィ ギ ュ レーシ ョ ン コ マン
ド お よ びデー タ がすべて含ま れてい ます。 パーシ ャ ル ビ ッ ト ス ト リ ームに コ ン フ ィ ギ ュ レーシ ョ ン フ レ ームのア ド
レ ス指定情報が含ま れてい る ので、 パーシ ャ ル ビ ッ ト ス ト リ ーム を FPGA に読み込む際に RM の物理位置を指定す
る 必要はあ り ません。 有効なパーシ ャ ル ビ ッ ト ス ト リ ームが FPGA の間違っ た場所に送信 さ れ る こ と はあ り ません。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン コ ン ト ロー ラ ーに よ り 不揮発性 メ モ リ か ら パーシ ャ ル ビ ッ ト ス ト リ ームが取
り 出 さ れ、 コ ン フ ィ ギ ュ レーシ ョ ン ポー ト に送 ら れます。 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン制御 ロ ジ ッ ク は、外
部デバ イ ス (プ ロ セ ッ サな ど) ま たは リ コ ン フ ィ ギ ュ レーシ ョ ンす る FPGA デバ イ ス の フ ァ ブ リ ッ ク に配置で き ます。
ユーザーが設計 し た内部 PR コ ン ト ロ ー ラ ーに よ り 、 ICAP イ ン タ ーフ ェ イ ス を解 し てパーシ ャ ル ビ ッ ト ス ト リ ーム
が読み込まれます。 ス タ テ ィ ッ ク デザ イ ンのほかの ロ ジ ッ ク と 同様、 内部パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン制
御回路は、 パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン プ ロ セ ス中、 割 り 込みな し で動作 し ます。
内部コ ン フ ィ ギ ュ レーシ ョ ンには、 カ ス タ ム ス テー ト マシ ン ま たは MicroBlaze™ な ど のエンベデ ッ ド プ ロ セ ッ サを
含め る こ と がで き ま す。 Zynq-7000 AP SoC では、 プ ロ セ ッ サ サブシ ス テ ム (PS) を使用 し てパーシ ャ ル リ コ ン フ ィ
ギ ュ レーシ ョ ン イ ベン ト を制御で き ます。 Zynq-7000 デバ イ ス では、 プ ロ グ ラ マブル ロ ジ ッ ク (PL) はパーシ ャ ル リ
コ ン フ ィ ギ ュ レーシ ョ ンで き ますが、 プ ロ セ ッ シ ン グ シ ス テ ムはで き ません。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン デザ イ ンお よ び PR 制御 ロ ジ ッ ク をデバ ッ グす る ため、 Vivado® ハー ド ウ ェ ア
マネージ ャ ーを使用 し て、 JTAG ポー ト を介 し て フル ビ ッ ト ス ト リ ーム ま たはパーシ ャ ル ビ ッ ト ス ト リ ーム を FPGA
に読み込む こ と がで き ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
42
コ ン フ ィ ギ ュ レーシ ョ ン モー ド
ビ ッ ト ス ト リ ーム を コ ン フ ィ ギ ュ レーシ ョ ン ポー ト に読み込む方法の詳細は、 次の資料の 「 コ ン フ ィ ギ ュ レーシ ョ ン
イ ン タ ーフ ェ イ ス」 の章を参照 し て く だ さ い。
•
『7 シ リ ーズ FPGA コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド 』 (UG470) [参照 1]
•
『Zynq-7000 All Programmable SoC テ ク ニ カル リ フ ァ レ ン ス マニ ュ アル』 (UG585) [参照 2]
コ ン フ ィ ギ ュ レーシ ョ ン モー ド
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンでは、 次の コ ン フ ィ ギ ュ レーシ ョ ン モー ド がサポー ト さ れてい ます。
•
ICAP : ユーザー コ ン フ ィ ギ ュ レーシ ョ ン ソ リ ュ ーシ ョ ンに適 し たモー ド です。 ICAP コ ン ト ロ ー ラ ー と ICAP イ
ン タ ーフ ェ イ ス を作成する 必要があ り ます。
•
PCAP : Zynq-7000 デザ イ ンでの代替コ ン フ ィ ギ ュ レーシ ョ ン方法です。
•
JTAG : テ ス ト ま たはデバ ッ グ を実行する のに適 し た イ ン ターフ ェ イ スです。 JTAG をサポー ト する コ ン フ ィ ギ ュ
レーシ ョ ン ケーブルを使用 し て Vivado ハー ド ウ ェ ア マネージ ャーま たは ChipScope™ Analyzer で駆動で き ます。
•
ス レーブ SelectMAP ま たは ス レーブ シ リ アル : 同 じ イ ン タ ーフ ェ イ ス で フル コ ン フ ィ ギ ュ レーシ ョ ンお よ び
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン を実行す る のに適 し たモー ド です。
マ ス ター モー ド は、IPROG に よ り コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ が ク リ ア さ れる ので、直接サポー ト さ れてい ません。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
43
フ ル BIT フ ァ イルのダウン ロー ド
フル BIT フ ァ イルのダウ ン ロー ド
デジ タ ル シ ス テ ムの FPGA デバ イ スは、 パ ワ ーオン リ セ ッ ト 後に、 直接 PROM か ら 、 ま たはマ イ ク ロ プ ロ セ ッ サに
よ り 汎用 メ モ リ 空間か ら フル BIT フ ァ イ ルを ダ ウ ン ロ ー ド する こ と に よ り コ ン フ ィ ギ ュ レーシ ョ ン し ます。 フル BIT
フ ァ イ ルには、 FPGA デバ イ ス を リ セ ッ ト し 、 完全なデザ イ ンで コ ン フ ィ ギ ュ レーシ ョ ン し 、 BIT フ ァ イ ルが破損 し
ていない こ と を検証する のに必要な情報がすべて含まれてい ます。 図 4-1 に こ のプ ロ セ ス を示 し ます。
X-Ref Target - Figure 4-1
図 4-1 : フル BIT フ ァ イルでの コ ン フ ィ ギ ュ レーシ ョ ン
初期コ ン フ ィ ギ ュ レーシ ョ ンが完了 し 、 検証 さ れた ら 、 FPGA デバ イ スはユーザー モー ド にな り 、 ダ ウ ン ロ ー ド さ れ
たデザ イ ンが機能 し 始め ます。BIT フ ァ イ ルが破損 し てい る こ と が検出 さ れた場合は、DONE 信号はアサー ト さ れず、
FPGA デバ イ ス はユーザー モー ド にな る こ と はないので、 破損 し たデザ イ ンが機能 し 始め る こ と はあ り ません。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
44
パーシ ャ ル BIT フ ァ イルのダウン ロー ド
パーシ ャル BIT フ ァ イルのダウ ン ロー ド
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン さ れ る FPGA デバ イ ス は、 パーシ ャ ル BIT フ ァ イ ルの読み込み中、 ユーザー
モー ド です。 こ れに よ り 、 リ コ ン フ ィ ギ ャ ラ ブル部分が変更 さ れてい る 間、 FPGA ロ ジ ッ ク の リ コ ン フ ィ ギ ュ レ ー
シ ョ ン さ れない部分は動作 し 続け る こ と がで き ます。 図 4-2 に こ のプ ロ セ ス を示 し ます。
X-Ref Target - Figure 4-2
図 4-2 : パーシ ャル BIT フ ァ イルでの コ ン フ ィ ギ ュ レーシ ョ ン
パーシ ャ ル BIT フ ァ イ ルには簡略 さ れたヘ ッ ダーが含まれてお り 、FPGA デバ イ ス をユーザー モー ド にす る ス タ ー ト
ア ッ プ シーケ ン スはあ り ません。BIT フ ァ イ ルには、デフ ォ ル ト 設定ではフ レーム ア ド レ ス と コ ン フ ィ ギ ュ レーシ ョ
ン デー タ 、 お よ び最終チ ェ ッ ク サ ム 値がのみが含 ま れ ま す。 必要に応 じ て、 ビ ッ ト ス ト リ ー ム の イ ン テ グ リ テ ィ
チ ェ ッ ク 用に追加の CRC チ ェ ッ ク を挿入で き ます。
リ コ ン フ ィ ギ ュ レーシ ョ ン後に リ セ ッ ト する 機能を使用 し てい る 場合、 リ コ ン フ ィ ギ ュ レーシ ョ ンが開始 し た と き に
DONE ピ ン が Low にな り 、 パーシ ャ ル リ コ ン フ ィ ギ ュ レ ーシ ョ ン が正 し く 完了す る と High に な り ま す。 ただ し 、
パーシ ャ ル ビ ッ ト ス ト リ ームは内部で監視す る こ と がで き ます。
リ コ ン フ ィ ギ ュ レーシ ョ ン後に リ セ ッ ト する 機能を使用 し ていない場合、 コ ン フ ィ ギ ュ レーシ ョ ンがいつ完了 し たか
を知る ためデー タ を監視する 必要があ り ます。 パーシ ャ ル BIT フ ァ イ ルの最後には DESYNCH ワ ー ド (0000000D) が
あ り 、 コ ン フ ィ ギ ュ レーシ ョ ン エン ジ ンに BIT フ ァ イ ルの送信が完了 し た こ と を示 し ます。 こ の ワ ー ド は、 一連の
パデ ィ ン グ NO OP コ マ ン ド の後に挿入 さ れてお り 、 DESYNCH に到達 し た と き にはすべての コ ン フ ィ ギ ュ レーシ ョ
ン デー タ がデバ イ ス全体の タ ーゲ ッ ト フ レームに既に送信 さ れてい る こ と を確実に し ます。 パーシ ャ ル BIT フ ァ イ
ル全体が コ ン フ ィ ギ ュ レーシ ョ ン ポー ト に送信 さ れた ら 、リ コ ン フ ィ ギ ュ レーシ ョ ン さ れた領域を ア ク テ ィ ブにで き
ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
45
FPGA デバイ ス を コ ン フ ィ ギ ュ レーシ ョ ンする シ ステム デザイ ン
FPGA デバイ ス を コ ン フ ィ ギ ュ レ ーシ ョ ンする シ ス
テム デザイ ン
パーシ ャ ル BIT フ ァ イ ルは、 フル BIT フ ァ イ ル と 同様に FPGA デバ イ ス にダ ウ ン ロ ー ド で き ます。外部マ イ ク ロ プ ロ
セ ッ サに よ り 、 ど のパーシ ャ ル BIT フ ァ イ ルを ダ ウ ン ロ ー ド すべ き か、 その BIT フ ァ イ ルが外部 メ モ リ 空間の ど こ に
あ る かが判断 さ れ、 パーシ ャ ル BIT フ ァ イ ルが JTAG、 Select MAP、 シ リ アル イ ン タ ーフ ェ イ ス な ど の標準 FPGA コ
ン フ ィ ギ ュ レーシ ョ ン ポー ト に送信 さ れます。 FPGA デバ イ スは、 パーシ ャ ル BIT フ ァ イ ルを受信 し てい る と い う 特
別な指示な し で、 パーシ ャ ル BIT フ ァ イ ルを正 し く 処理 し ます。
フル BIT フ ァ イ ルを ダ ウ ン ロ ー ド する 前には、 通常 FPGA コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス の INIT ま たは
PROG 信号を アサー ト し ます。 パーシ ャ ル BIT フ ァ イ ルを ダ ウ ン ロ ー ド す る 前には、 こ れは実行 し ないで く だ さ い。
INIT ま たは PROG 信号を アサー ト す る と 、 パーシ ャ ル BIT フ ァ イ ルでな く フル BIT フ ァ イ ルが送信 さ れます。
動作中のデザ イ ン にパーシ ャ ル BIT フ ァ イ ルが送信 さ れ る こ と を示す ( イ ネーブル信号を保持、 ク ロ ッ ク を デ ィ ス
エーブルにする な ど) には、 専用 FPGA コ ン フ ィ ギ ュ レーシ ョ ン ピ ン を使用す る のではな く 、 デザ イ ン内で実行す る
必要があ り ます。 図 4-3 に、 マ イ ク ロ プ ロ セ ッ サを介 し た コ ン フ ィ ギ ュ レーシ ョ ン プ ロ セ ス を示 し ます。
X-Ref Target - Figure 4-3
full
configuration
RM A1
config.
RM A2
config.
RM A3
config.
Off-chip memory or System ACE
ICAP
uP
FPGA
Self-reconfiguring
FPGA
uP
RP A
RP A
JTAG
port
X12033
図 4-3 : マ イ ク ロ プ ロ セ ッ サを介 し た コ ン フ ィ ギ ュ レーシ ョ ン
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン では、 標準 コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス に加え、 内部 コ ン フ ィ
ギ ュ レ ーシ ョ ン ア ク セ ス ポー ト (ICAP) に よ る コ ン フ ィ ギ ュ レーシ ョ ンがサポー ト さ れてい ます。 ICAP プ ロ ト コ ル
は SelectMAP と 同 じ で、 タ ーゲ ッ ト デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド に説明 さ れてい ます。FPGA
デザ イ ン の HDL 記述に ICAP ラ イ ブ ラ リ プ リ ミ テ ィ ブ を イ ン ス タ ン シエー ト し 、 パーシ ャ ル BIT フ ァ イ ルを コ ン
フ ィ ギ ュ レーシ ョ ン ポー ト に送信す る 前に解析お よ び制御で き ます。 パーシ ャ ル BIT フ ァ イ ルは、 汎用 I/O ま たはギ
ガ ビ ッ ト ト ラ ン シーバーを介 し て FPGA デバ イ ス にダ ウ ン ロ ー ド し 、その後 FPGA フ ァ ブ リ ッ ク の ICAP に転送で き
ます。
暗号化 7 シ リ ーズ BIT フ ァ イ ルのパーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン では、8 ビ ッ ト バ ス のみの ICAP を使用す る
必要があ り ます。 暗号化を使用す る 場合は、外部 コ ン フ ィ ギ ュ レーシ ョ ン ポー ト を介 し た リ コ ン フ ィ ギ ュ レーシ ョ ン
は使用で き ません。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
46
パーシ ャル ビ ッ ト ス ト リ ームの CRC チ ェ ッ ク
パーシ ャル ビ ッ ト ス ト リ ームの CRC チ ェ ッ ク
パーシ ャ ル ビ ッ ト ス ト リ ームがア ク テ ィ ブ デザ イ ンに読み込まれ る と 、 デフ ォル ト のビル ト イ ン CRC はビ ッ ト ス ト
リ ームの最後ま で実行 さ れませんが、 コ ン フ ィ ギ ュ レーシ ョ ン エン ジ ンにはフ レーム ご と の CRC チ ェ ッ ク を実行す
る 機能があ り 、 CRC チ ェ ッ ク でエ ラ ーが検出 さ れた場合はフ レームは コ ン フ ィ ギ ュ レーシ ョ ン メ モ リ に読み込ま れ
ま せん。 エ ラ ーが検出 さ れ る と INIT_B ピ ン が Low にな り 、 パーシ ャ ル BIT フ ァ イ ルを再試行す る か、 ゴールデン
パーシ ャ ル BIT フ ァ イ ルを使用す る かな ど、 次の処理を決定で き ま す。 部分的に読み込 ま れた リ コ ン フ ィ ギ ュ レー
シ ョ ン領域には有効なプ ロ グ ラ ミ ン グはあ り ませんが、 シ ス テ ムがエ ラ ーか ら 回復す る 間、CRC チ ェ ッ ク に よ り デバ
イ ス の残 り の部分は動作を続け ます。
こ の フ レーム ご と の CRC チ ェ ッ ク は、 Vivado® Design Suite の次の リ リ ース でサポー ト さ れ る 予定です。
フ レーム ご と の CRC チ ェ ッ ク の使用/不使用にかかわ ら ず、 パーシ ャ ル BIT フ ァ イ ルが読み込まれ る と 、 デバ イ ス の
コ ン フ ィ ギ ュ レーシ ョ ン全体が変わ り ます。SEU を軽減する ために POST_CRC 機能が イ ネーブルにな っ てい る 場合、
パーシ ャ ル ビ ッ ト ス ト リ ームが読み込まれ、 コ ン フ ィ ギ ュ レーシ ョ ン イ ン タ ーフ ェ イ ス がユーザーに よ り 非同期化
さ れた後、 SEU 軽減エン ジ ンに よ り エンベデ ッ ド SEU CRC 値が自動的に再算出 さ れます。 CRC 再キ ャ リ ブ レーシ ョ
ンが完了する と 、 FRAME_ECCE2 の FRAME_VALID 出力が ト グル し 始め、 SEU 検出が再開 し た こ と が示 さ れます。
コ ン フ ィ ギ ュ レーシ ョ ン時間
コ ン フ ィ ギ ュ レーシ ョ ン の速度は、 パーシ ャ ル BIT フ ァ イ ルのサ イ ズお よ び コ ン フ ィ ギ ュ レーシ ョ ン ポー ト のバン
ド 幅に直接影響 さ れます。表 4-1 に、7 シ リ ーズの異な る コ ン フ ィ ギ ュ レーシ ョ ン ポー ト の最大バン ド 幅を示 し ます。
表 4-1 : 7 シ リ ーズ アーキテ ク チ ャ の コ ン フ ィ ギ ュ レーシ ョ ン ポー ト の最大バン ド 幅
コ ン フ ィ ギ ュ レーシ ョ ン
モー ド
最大ク ロ ッ ク レー ト
デー タ 幅
最大バン ド 幅
ICAP
100MHz
32 ビ ッ ト
3.2Gbps
SelectMAP
100MHz
32 ビ ッ ト
3.2Gbps
シ リ アル モー ド
100MHz
1 ビッ ト
100Mbps
JTAG
66MHz
1 ビッ ト
66Mbps
ビ ッ ト ス ト リ ームの正確な長 さ は、 write_bitstream コ マン ド で -raw_bitfile オプシ ョ ン を使用 し て作成 さ れ
た .rbt フ ァ イ ルに含ま れ ます。 こ の数値 と バン ド 幅か ら 、 コ ン フ ィ ギ ュ レーシ ョ ンの総時間を算出 し ます。 次に、
ロ ー ビ ッ ト フ ァ イ ルのヘ ッ ダーの例を示 し ます。
Xilinx ASCII Bitstream
Created by Bitstream 2013.4
Design name: led_shift_count;UserID=0XFFFFFFFF
Architecture:kintex7
Part:
7k325tffg900
Date:
Thu Aug 29 16:42:05 2013
Bits:
1211072
11111111111111111111111111111111
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
47
コ ン フ ィ ギ ュ レーシ ョ ン デバ ッ グ
コ ン フ ィ ギ ュ レーシ ョ ン デバ ッ グ
JTAG ま たは ス レーブ SelectMAP な ど の ICAP 以外の コ ン フ ィ ギ ュ レーシ ョ ン方法を使用 し てい る 場合で も 、 ICAP イ
ン タ ーフ ェ イ ス を使用 し て コ ン フ ィ ギ ュ レーシ ョ ン プ ロ セ ス を開始で き ます。 実際、 コ ン フ ィ ギ ュ レ ーシ ョ ン の ス
テー タ ス は、 読み出 し コ マ ン ド を発行 し な く て も 、 自動的に ICAP の O ポー ト に送信 さ れます。
ICAP ブ ロ ッ ク の O ポー ト は 32 ビ ッ ト バ ス ですが、 最下位バ イ ト のみが使用 さ れます。 次の表に、 最下位バ イ ト の
各ビ ッ ト の説明を示 し ます。
表 4-2 : ICAP の O ポー ト ビ ッ ト
ICAP の O ポー ト ビ ッ ト
ステー タ ス ビ ッ ト
O[7]
CFGERR_B
O[6]
DALIGN
O[5]
RIP
O[4]
IN_ABORT_B
O[3:0]
1
説明
コ ン フ ィ ギ ュ レーシ ョ ン エ ラ ー (ア ク テ ィ ブ Low)
0 : コ ン フ ィ ギ ュ レーシ ョ ン エ ラ ーが発生
1 : コ ン フ ィ ギ ュ レーシ ョ ン エ ラ ーな し
同期ワ ー ド の受信 (ア ク テ ィ ブ High)
0 : 同期ワ ー ド は受信 さ れていない
1 : イ ン タ ーフ ェ イ ス ロ ジ ッ ク か ら 同期 ワー ド を受信
リ ー ド バ ッ ク (ア ク テ ィ ブ High)
0 : リ ー ド バ ッ ク は実行 さ れていない
1 : リ ー ド バ ッ ク を実行中
アボー ト (ア ク テ ィ ブ Low)
0 : アボー ト を実行中
1 : アボー ト は実行 さ れていない
予約済み
こ のバ イ ト の上位 4 ビ ッ ト が ス テー タ ス を示 し ます。 こ れ ら の ス テー タ ス ビ ッ ト は、 同期ワ ー ド が受信 さ れたか、 コ
ン フ ィ ギ ュ レーシ ョ ン エ ラ ーが発生 し たか を示 し ます。 次の表に、 こ れ ら の状態の値を示 し ます。
表 4-3 : ICAP の同期ビ ッ ト
O[7:0]
同期ワー ド の有無
コ ン フ ィ ギ ュ レーシ ョ ン
エ ラ ーの有無
9F
なし
なし
DF
あり
なし
5F
あり
あり
1F
なし
あり
図 4-4 に、 完了 し た フル コ ン フ ィ ギ ュ レーシ ョ ン、 その後に CRC エ ラ ーを含むパーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ
ン、 最後に正常に完了 し たパーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン を示 し ます。 上記の表 と こ の後の説明か ら 、 どの よ
う に ICAP の O ポー ト を使用 し て コ ン フ ィ ギ ュ レーシ ョ ン プ ロ セ ス を監視する かがわか り ます。 CRC エ ラ ーが発生 し
た ら 、 こ れ ら の信号を コ ン フ ィ ギ ュ レーシ ョ ン ス テー ト マシ ンで使用 し てエ ラ ーか ら 回復で き ます。 こ れ ら の信号は
ChipScope でデバ ッ グ用に コ ン フ ィ ギ ュ レーシ ョ ン エ ラ ーを キ ャ プチ ャ す る のに も 使用で き ます。 こ の情報を使用 し
て、 ChipScope をパーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンの さ ま ざ ま なポ イ ン ト を キ ャ プチ ャ する のに使用で き ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
48
コ ン フ ィ ギ ュ レーシ ョ ン デバ ッ グ
X-Ref Target - Figure 4-4
図 4-4 : パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンの ChipScope の表示
ChipScope 表示のマーカーは、 次を示 し ます。
•
1st_done
初期フル ビ ッ ト ス ト リ ーム コ ン フ ィ ギ ュ レーシ ョ ンの完了を示 し ます。 DONE ピ ン (図の波形の done_pad) が
High にな り ます。
•
cfgerr
パーシ ャ ル ビ ッ ト ス ト リ ームの読み込み中に CRC エ ラ ーが検出 さ れた こ と を示 し ます。 ス テー タ ス は O[31:0]
(図の波形の icap_o_top[31:0]) で確認で き ます。
•
°
Icap_o_top[31:0] は 0x9F か ら 開始 し ます。
°
SYNC ワ ー ド が受信 さ れ る と 、 Icap_o_top[31:0] が 0xDF にな り ます。
°
CRC エ ラ ーが受信 さ れ る と 、 Icap_o_top[31:0] が 1 サ イ ク ル間 0x5F にな り 、 その後 0x1F にな り ます。
°
INIT_B が Low にな り ます (図の波形の init_pad)。
RCRC
パーシ ャ ル ビ ッ ト ス ト リ ームが再読み込み さ れた こ と を示 し ます。 RCRC コ マ ン ド は cfgerr ス テー タ ス を リ
セ ッ ト し 、 INIT_B ピ ン を High に し ます (図の波形の init_pad)。
•
°
SYNC ワ ー ド が受信 さ れ る と Icap_o_top[31:0] は 0x1F か ら 0x5F に変わ り ます。
°
RCRC コ マン ド が受信 さ れ る と Icap_o_top[31:0] は 0x5F か ら 0xDF に変わ り ます。
pr_done
パーシ ャ ル ビ ッ ト ス ト リ ームが正 し く 完了 し た こ と を示 し ます。
°
DESYNC コ マン ド が受信され、 コ ン フ ィ ギ ュ レーシ ョ ン エ ラーが検出されなかった場合、Icap_o_top[31:0]
は 0xDF か ら 0x9F に変わ り ます。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
49
第 5章
既知の問題お よび制限
既知の問題
Vivado® 2013.4 リ リ ー ス でパーシ ャ ル リ コ ン フ ィ ギ ュ レ ーシ ョ ン を使用す る 場合、 い く つかの既知の問題が あ り ま
す。 こ れ ら の問題が発生 し た場合、 ま たはほかの問題が発生 し た場合は、 ザ イ リ ン ク ス に連絡 し 、 問題が発生 し たサ
ンプル デザ イ ン を ご送付 く だ さ い。 こ れ ら のテ ス ト ケース は、 ソ リ ュ ーシ ョ ン を向上す る ために活用 さ せていただ
き ます。
•
致命的なエ ラ ー、内部エ ラ ー、不完全な配線 (部分的なアン テナ)、配置配線、pr_verify、および write_bitstream
を阻害する その他の規則違反が発生し た場合は、 ザ イ リ ン ク スにご連絡 く だ さ い。 エ ラ ーが発生し たデザ イ ン を含
めていただ く こ と が、 適切な解析および修正の適用に重要です。
•
イ ンプ リ メ ン ト 済み リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの再利用は 100% 保持 さ れません。 今後の リ リ ース では、
イ ン プ リ メ ン ト 済み リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールを示すチ ェ ッ ク ポ イ ン ト を 1 つの コ ン フ ィ ギ ュ レーシ ョ
ン か ら 保存 し 、 別の コ ン フ ィ ギ ュ レ ーシ ョ ン で再利用で き る よ う にす る 予定です。 現在の リ リ ー ス では、 パー
テ ィ シ ョ ン ピ ン と 内部 ロ ジ ッ ク の間の イ ン タ ーフ ェ イ ス ネ ッ ト はキ ャ プチ ャ さ れないので、 こ れ ら の信号は再
配線する 必要があ り ます。
°
•
こ れには、 配線済み RM チ ェ ッ ク ポ イ ン ト を読み込んだ後に route_design コ マン ド を実行 し ます。 こ の
プ ロ セ ス は広範にテ ス ト さ れていないので、 お勧め し ません。
SSI デバ イ ス (7V2000T、7VX1140T) の初期 コ ン フ ィ ギ ュ レーシ ョ ン を SPI イ ン タ ーフ ェ イ ス を介 し て実行す る 場
合は、 パーシ ャ ル ビ ッ ト ス ト リ ーム を マ ス タ ー (ま たはその他の) ICAP に送信す る こ と はで き ません。 JTAG な
ど の外部ポー ト に送信する 必要があ り ます。初期 コ ン フ ィ ギ ュ レーシ ョ ン をほかの コ ン フ ィ ギ ュ レーシ ョ ン ポー
ト を介 し て実行 し た場合は、 マ ス タ ー ICAP をパーシ ャ ル ビ ッ ト ス ト リ ームの送信ポー ト と し て使用で き ます。
°
回避策を現在調査中であ り 、 今後の リ リ ース に含め る 予定です。
•
1 つの リ コ ン フ ィ ギ ャ ラ ブル モジ ュ ールの複数の出力を同 じ ソ ース で駆動 し ないで く だ さ い。RM の各出力には、
固有の ド ラ イ バーが必要です。
•
Vivado IDE でフ ロ アプ ラ ン を実行 し た後、 place_design コ マン ド を実行 し た と き に リ コ ン フ ィ ギ ャ ラ ブル
パーテ ィ シ ョ ン付近の意図 し ていないサ イ ト が使用禁止にな る こ と があ り ます。 こ れ ら のサ イ ト は、 IDE で斜線
の付いた赤丸で示 さ れます。 こ れ ら のサ イ ト が使用禁止にな ら ない よ う にする には、 第 2 章の 「Vivado IDE での
フ ロ ア プ ラ ン」 に 説明 さ れ て い る よ う に、 デ ザ イ ン Pblock を 作 成 し て 保 存 し た 後、 opt_design ま た は
place_design コ マ ン ド を実行する 前にデザ イ ン を閉 じ て開き 直 し て く だ さ い。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
50
既知の制限
既知の制限
Vivado 2013.4 には、 開発ま たはサポー ト さ れていない機能があ り ます。 こ れ ら の機能は、 今後の リ リ ース で追加 さ れ
る 可能性があ り ます。 その一部を次に示 し ます。
•
Pblock 範囲を選択 し て リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ンのサ イ ズおよ び形状を定義する 際、 CLOCKREGION
リ ソ ース タ イ プを使用し ないで く だ さ い。 Pblock 範囲には、 SLICE、 RAMB18、 RAMB36、 お よび DSP48 リ ソ ー
ス タ イ プのみを含め ます。
•
イ ンプ リ メ ン テーシ ョ ン中のブ ラ ッ ク ボ ッ ク ス サポー ト には制限があ り ます。 ブ ラ ッ ク ボ ッ ク ス の完全なサ
ポー ト (空の RM を含む コ ン フ ィ ギ ュ レーシ ョ ン) はま だ導入 さ れていないので、 ブ ラ ッ ク ボ ッ ク ス は イ ン プ リ
メ ン テーシ ョ ン後に update_design -black_box を使用 し て作成する 必要があ り ます。
°
•
update_design -black_box コ マン ド で作成 し たブ ラ ッ ク ボ ッ ク スはフ ァ ン ク シ ョ ンの面か ら 完全に空
で、 出力に定数 ド ラ イ バーは配置 さ れてい ません。 こ のため、 ビ ッ ト ス ト リ ームが使用 さ れた場合に、 未接
続の RM 出力が ス タ テ ィ ッ ク ロ ジ ッ ク の動作を阻害 し ない よ う にす る ため、 デカ ッ プ リ ン グ ロ ジ ッ ク を ア
ク テ ィ ブに保持 し て く だ さ い。
プ ロ ジ ェ ク ト モー ド はサポー ト さ れてい ません。プ ロ ジ ェ ク ト を使用 し た コ ン フ ィ ギ ュ レーシ ョ ンの コ ンパ イ ル
お よ びプ ロ ジ ェ ク ト コ マ ン ド (create_run、 launch_runs な ど) は使用で き ま せん。 同様に、 Vivado IDE で
の PR プ ロ ジ ェ ク ト の管理 も サポー ト さ れてい ません。
°
チ ェ ッ ク ポ イ ン ト を IDE で開 き 、 解析機能を使用す る こ と はで き ますが、 デザ イ ン run 機能は使用で き ま
せん。
•
write_bitstream コ マ ン ド の暗号化お よ びフ レーム ご と の CRC チ ェ ッ ク 機能は導入 さ れてい ません。 こ れ ら
の機能は、 今後の リ リ ース で追加 さ れ る 予定です。
•
リ コ ン フ ィ ギ ャ ラ ブル パーテ ィ シ ョ ン内で Vivado デバ ッ グ コ アの挿入機能を使用 し ないで く だ さ い。 こ の フ
ロ ーは、 BSCAN お よ び BUFG プ リ ミ テ ィ ブ を含むデバ ッ グ ハブを挿入 し ますが、 こ れは リ コ ン フ ィ ギ ャ ラ ブル
ビ ッ ト ス ト リ ーム内では許容 さ れません。
•
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン をザ イ リ ン ク ス PCIe IP 内の Tandem コ ン フ ィ ギ ュ レーシ ョ ン機能 と 共に
使用 し ないで く だ さ い。 こ れ ら 2 つの ソ リ ュ ーシ ョ ン を同時に使用 し た状況はテ ス ト さ れてい ません。
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
51
付録 A
その他の リ ソ ース
ザイ リ ン ク ス リ ソ ース
ア ンサー、 資料、 ダ ウ ン ロ ー ド 、 フ ォー ラ ム な ど のサポー ト リ ソ ースは、 次のザ イ リ ン ク ス サポー ト サ イ ト を参照
し て く だ さ い。
http://japan.xilinx.com/support
ザ イ リ ン ク ス資料で使用 さ れてい る 技術用語については、 次のザ イ リ ン ク ス用語集を参照 し て く だ さ い。
http://japan.xilinx.com/company/terms.htm
ソ リ ュ ーシ ョ ン セ ン タ ー
デバ イ ス、 ツール、 IP のサポー ト については、 ザ イ リ ン ク ス ソ リ ュ ーシ ョ ン セ ン タ ーを参照 し て く だ さ い。 デザ イ
ン ア シ ス タ ン ト 、 ア ド バ イ ザ リ 、 ト ラ ブルシ ュ ーテ ィ ン グの ヒ ン ト な ど が含まれます。
参考資料
1.
『7 シ リ ーズ FPGA コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド 』 (UG470)
2.
『Zynq-7000 All Programmable SoC テ ク ニ カル リ フ ァ レ ン ス マニ ュ アル』 (UG585)
3.
『パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド 』 (UG702) - ISE デザ イ ン ツール用
4.
『階層デザ イ ン設計手法ガ イ ド 』 (UG748) - ISE デザ イ ン ツール用
5.
『再現可能な結果を活用 し たデザ イ ンの保持』 (WP362) - ISE デザ イ ン ツール用
6.
『Vivado Design Suite チ ュ ー ト リ アル : パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン』 (UG947)
7.
『Vivado Design Suite Tcl コ マ ン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835)
8.
『XST ユーザー ガ イ ド (Virtex-6、 Spartan-6、 7 シ リ ーズ デバ イ ス』 (UG687)
9.
『Vivado Design Suite ユーザー ガ イ ド : 合成』 (UG901)
10. 『7 シ リ ーズ FPGA GTX/GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476)
11. 『MMCM お よ び PLL のダ イ ナ ミ ッ ク リ コ ン フ ィ ギ ュ レーシ ョ ン』 (XAPP888)
12. 『PRC/EPRC : パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ンのデー タ イ ン テグ リ テ ィ お よ びセキ ュ リ テ ィ コ ン ト ロ ー
ラ ー 』 (XAPP887)
13. 『Vivado Design Suite ユーザー ガ イ ド : プ ロ グ ラ ムお よ びデバ ッ グ』 (UG908)
14. 『USR_ACCESS を使用す る ビ ッ ト ス ト リ ームの認識』 (XAPP497)
パーシ ャ ル リ コ ン フ ィ ギ ュ レーシ ョ ン
UG909 (v2013.4) 2013 年 12 月 18 日
japan.xilinx.com
Send Feedback
52