Quartus Primeプロ・エディション・ソフトウェアおよびデバイス・サポート

2016.05.09
Quartus Prime プロ・エディション・ソフトウェアお
よびデバイス・サポート・リリース・ノート・バージ
ョン 16.0
RN-01082-16.0.0
更新情報
フィードバック
この資料は、Altera® Quartus® Prime プロ・エディション・ソフトウェア・リリース・バージョン
16.0 についての最新情報を提供します。
オペレーション・システムのサポートについて、詳しくは altera/<version number>/quartus directory
の下の readme.txt ファイルを参照してください。
関連情報
Quartus Prime Standard Edition Software and Device Support Release Notes Version 16.0
新機能および拡張機能
Quartus Prime プロ・エディション・ソフトウェア・リリース・バージョン 16.0 には、以下の新
機能および拡張機能が含まれます。
• 新しい Quartus Prime プロジェクトの作成時に、開発キットを選択し、ボードの仕様を直接ピ
ン・アサインメントを含む Quartus Prime アサインメントに変換可能にする、開発キットのセ
ットアップ機能。また、この機能は、選択した開発キットのボードに特化したリファレン・
スデザインも提供する
• クロック計画向けの改善されたフィードバックおよびガイダンスを提供するために関連する
クロック・エレメント間の関係を表示する、改善された BluePrint Platform Designer GUI
• フィットできなかった場合に最良の部分的配置をレポートする、改善された BluePrint
Platform Designer の Autoplace 機能
• 特定の操作に対して GUI の Placement History ペインで Undo を選択することにより
BluePrint Platform Designer での以前の配置に戻すことが可能になるように改善された
BluePrint Platform Designer GUI
• ピン、セル、配線、デバイス・アサインメント、または LogicLock® Plus 領域を固定すること
を可能にする Back-Annotate Assignments 機能をサポート
© 2016 Altera Corporation. All rights reserved. ALTERA, ARRIA, CYCLONE, ENPIRION, MAX, MEGACORE, NIOS, QUARTUS and STRATIX words and logos are
trademarks of Altera Corporation and registered in the U.S. Patent and Trademark Office and in other countries. All other words and logos identified as
trademarks or service marks are the property of their respective holders as described at www.altera.com/common/legal.html. Altera warrants performance
of its semiconductor products to current specifications in accordance with Altera's standard warranty, but reserves the right to make changes to any
products and services at any time without notice. Altera assumes no responsibility or liability arising out of the application or use of any information,
product, or service described herein except as expressly agreed to in writing by Altera. Altera customers are advised to obtain the latest version of device
specifications before relying on any published information and before placing orders for products or services.
www.altera.com
101 Innovation Drive, San Jose, CA 95134
ISO
9001:2008
登録済
2
オペレーティング・システム・サポート
RN-01082-16.0.0
2016.05.09
• 以下の機能を提供する Qsys Pro システム統合ツール
• 全てのシステム、サブシステム、IP の、分離され独立した再生成
• 変更された IP RTL のみのインクリメンタル再生成
• 汎用のブラックボックス・コンポーネントをプレースホルダとして挿入でき、その後で特
定の RTL をインポートすることによりカスタマイズ可能
• チーム・ベース・デザイン向けに、インタフェース、プロパティ、ポートで構成されるデ
ザイン・フットプリントを記録する機能
• IP-XACT 形式のサポート
•
•
•
•
•
•
•
•
•
•
•
•
•
Qsys Pro は、 Quartus Prime プロ・エディション・ソフトウェア・バージョン 16.0 のベータ版
の機能です。
ライセンスが必要な MegaCore® IP コアを購入前にシミュレーションし、かつハードウェアで
も評価可能にする OpenCore Plus 機能のサポート
アンパックされた DSP レジスタの一覧を示し、パックの試みのそれぞれの失敗について説明
を提供する、Arria 10 デザイン向け DSP Register Packing Summary レポート
各 Fitter ステージ(Plan、Place、Route、Finalize)をインクリメンタルに呼び出すことができ
る Per-Stage Compilation フロー。Per-Stage Compilation は、 Quartus Prime プロ・エディショ
ン・ソフトウェア・バージョン 16.0 のベータ版の機能
配線領域を作成するための追加的フィードバックを提供する、Chip Planner における配線の可
視化の改善。Chip Planner の改善された配線の可視化機能は、 Quartus Prime ソフトウェア・
バージョン 16.0 のベータ版の機能
Arria 10 デザイン向けに改善された配線機能
配線後にホールド不成功の修正を可能にする quartus_fit post_route=route_fixup
コマンド
Arria 10 デバイス向けの完全なタイミング・モデルのサポート
全てのタイミング収束結果を含むよう改善された TimeQuest Timing Analyzer のタイミング・
レポート
全ての適用可能な Synopsys Design Constraints(SDC)命令および例外に複数の-through 構造
を含めることが可能になるように改善された TimeQuest タイミング・アナライザの能力。こ
の改善により TimeQuest タイミング・アナライザでより精細なパス・フィルタリングが可能
トップレベル・シミュレーション・スクリプトから統合シミュレータ・セットアップ・スク
リプトの生成または更新を可能にする Generate Simulator Setup Script for IP 機能をサポート
バージョン互換の Quartus Prime プロジェクト・データベースをインポートまたはエクスポー
トする機能をサポート
Quartus Prime プロジェクト・データベースを整理し、以前のコンパイル結果全てを削除する
ことを可能にする、Clean Project 機能をサポート
Arria 10 デバイス向けの低いピーク仮想メモリ要件
オペレーティング・システム・サポート
Quartus Prime Design Suite®の OS サポートについての情報は、アルテラ・ウェブサイトの
Operating System Support ページで入手可能です。
関連情報
Operating System Support
Altera Corporation
Quartus Prime プロ・エディション・ソフトウェアおよびデバイス・サポート・リリース・ノート・バージ
ョン 16.0
フィードバック
RN-01082-16.0.0
2016.05.09
メモリ推奨事項
3
メモリ推奨事項
Quartus Prime ソフトウェアを完全にインストールするために、最大で 24 GB のディスク容量が
必要です。
アルテラは、デザインをプロセスするために必要な推奨される物理的な RAM と同量の仮想メモ
リを使用できるようにシステムを設定することを推奨します。
注意: ピーク仮想メモリはこの推奨事項を上回ることがあります。この推奨事項は、無権の量の
RAM を有するハードウェアで達成される 10%以内のランタイムを達成するために必要
な物理的なメモリ量に基づいています。
表 1: デザインをプロセスするためのメモリ要件
この要件は、Windows および Linux インストレーションのどちらに対しても同じです。
ファミリ
Arria 10
デバイス
推奨される物理的 RAM
10AT115、10AX115
48 GB
10AX090
44 GB
10AS066、10AX066
32 GB
10AS057、10AX057
30 GB
10AS048、10AX048
28 GB
10AX032、10AS032
24 GB
10AX027、10AS027
22 GB
10AX022、10AS022
20 GB
10AX016、10AS016
18 GB
デバイス・サポートにおける変更
関連情報
Altera Knowledge Base
デバイスに関する既知の問題および解決策について詳しい情報を提供します。
ソフトウェア動作についての変更
この項では、インスタンスにおいて、特に Quartus Prime プロ・エディション・ソフトウェアで
以前のリリースの Quartus Prime プロ・エディション・ソフトウェアから変更されている動作お
よびデフォルト設定について紹介します。
最新バージョンの Quartus Prime ソフトウェア向けの全てのデフォルト・アサインメント設定に
ついては、<Quartus Prime installation directory>/quartus/bin/assignment_defaults.qdf にある Quartus
Prime デフォルト設定ファイル(.qdf)を参照してください。
Quartus Prime プロ・エディション・ソフトウェアおよびデバイス・サポート・リリース・ノート・バージ
ョン 16.0
フィードバック
Altera Corporation
4
RN-01082-16.0.0
2016.05.09
デバイス・サポートおよびピンアウト・ステータス
NativeLink 自動機能は Quartus Prime プロ・エディション・ソフトウェアでサポートされない
NativeLink EDA 統合ツールは、 Quartus Prime プロ・エディション・ソフトウェアの GUI から削
除されました。
Arria 10 デザインを解析するには Spectra-Q TimeQuest を使用する
Arria® 10 デザインを解析するには Spectra-Q™ TimeQuest のみを使用してください。Arria 10 デザ
インの解析に以前のバージョンの TimeQuest Timing Analyzer は使用できません。
Quartus Prime プロ・エディション・ソフトウェアは Spectra-Q TimeQuest のみをサポートしてい
ます。
Large Periphery Clock は Quartus Prime プロ・エディション・ソフトウェアでサポートされない
Assignment Editor で Large Periphery Clock を Global Signal アサインメントに選択した場合、
Quartus Prime プロ・エディション・ソフトウェアはコンパイル時にエラー・メッセージを発行
します。
クロック・バッファ位置の新しい命名方式
BluePrint Platform Designer、TimeQuest Timing Analyzer および Quartus Prime ソフトウェアにより
生成されたレポートでは、クロック・バッファの位置を新たに以下のように表現します。
<clock name>_<source bank>_<clock type and region>_<clock tree index>
例:CLKCTRL_2A_G_I31
注意: Quartus Prime ソフトウェアは、以前のバージョンの Quartus Prime ソフトウェアで生成し
たデザイン向けに下位互換性を提供しています。これらのデザインでの位置の制約は、現
在のバージョンのソフトウェアでも適切に適用されます。
区分境界ポートはクロックの定義をアサインメントとして受け入れる
パーテーションへのタイミング制約の設定をさらに柔軟にするために、create_clock および
を区分境界ポートに割り当てることができるようになりました。
create_generated_clock
デバイス・サポートおよびピンアウト・ステータス
Arria 10 の OPN(Ordering Part Number)は、 Quartus Prime ソフトウェア・バージョン 16.0 で更
新されています。
表 2: フル・デバイス・サポート
完全な、コンパイル、シミュレーション、タイミング解析、およびプログラミングのサポートが、以下
の表に記載されている新しいデバイス向けに有効になりました。
デバイス・ファミリ
Arria 10
Altera Corporation
デバイス
10AS066ES、10AX066ES、10AX115ES、10AX115E2、
10AT115E2、10AX115E3、10AX016、10AS016、10AX022、
10AS022、10AX027、10AS027、10AX032、10AS032、
10AX048、10AS048、10AX057、10AS057、10AX066、
10AS066、10AX090、10AX115、10AT115
Quartus Prime プロ・エディション・ソフトウェアおよびデバイス・サポート・リリース・ノート・バージ
ョン 16.0
フィードバック
RN-01082-16.0.0
2016.05.09
タイミングおよび電力モデル
5
タイミングおよび電力モデル
表 3: タイミングおよび電力モデルのステータス
デバイス・ファミリ
Arria 10
デバイス
タイミング・モデルのステータス パワー・モデルのステータス
10AX016、10AS016、
10AX022、10AS022、
10AX027、10AS027、
10AX032、
10AS032,10AX048、
10AS048、10AX057、
10AS057、10AX066、
10AS066、10AX090
暫定
暫定
10AX115、10AT115
最終 – 16.0
最終 – 16.0
関連情報
System Design with Advance FPGA Timing Models
IBIS モデル
表 4: Quartus Prime プロ・エディション・ソフトウェア・リリース・バージョン 16.0 における IBIS モデ
ル・ステータス
Quartus Prime プロ・エディション・ソフトウェア・バージョン 16.0 より、デバイス・ファミリに、ア
ドバンスト、暫定、最終のいずれかの IBIS モデル・ステータスを設定しています。
デバイス・ファミリ
Arria 10
暫定 - 16.0
IBIS モデル・ステータス
EDA インタフェース情報
表 5: Quartus Prime プロ・エディション・ソフトウェア・リリース・バージョン 16.0 をサポートする合
成ツール
合成ツール (1)
Mentor Graphics® 社 Precision
2015b
Synopsys 社 Synplify、Synplify Pro、Synplify Premier
2015.09-SP1
®
(1)
バージョン
Quartus Prime ソフトウェア・バージョン 16.0 をサポートする EDA 合成ツールは、 Quartus Prime
ソフトウェアのリリース後すみやかに各ベンダよりリリースされます。詳細については各ベンダ
の顧客窓口にお問い合わせください
Quartus Prime プロ・エディション・ソフトウェアおよびデバイス・サポート・リリース・ノート・バージ
ョン 16.0
フィードバック
Altera Corporation
6
RN-01082-16.0.0
2016.05.09
ウィルス対策について
表 6: Quartus Prime プロ・エディション・ソフトウェア・リリース・バージョン 16.0 をサポートするシ
ミュレーション・ツール
シミュレーション・ツール
バージョン
ゲート・レベル・シミュ
レーションのサポート
Aldec 社 Active-HDL
10.2(Windows のみ)
あり
Aldec 社 Riviera PRO
2015.10
あり
Cadence 社 Incisive Enterprise Simulator(IES) 14.2(Linux のみ)
あり
Mentor Graphics 社 ModelSim® PE
10.4d
あり
Mentor Graphics 社 ModelSim SE
10.4d
あり
Mentor Graphics 社 ModelSim-Altera
10.4d
あり
Mentor Graphics 社 Questa®
10.4d
あり
Synopsys 社 VCS および VCS MX
2014.12-SP1 (Linux のみ)
あり
Mentor Graphics 社 ModelSim-Altera version 10.4d(32 ビット・ライブラリが必要)の OS サポート
•
•
•
•
•
Windows 7 SP1(64 ビット)
Windows 8.0(64 ビット)
Windows Server 2008 R2 SP1(64 ビット)
Red Hat Enterprise Linux 5.10(64 ビット)
Red Hat Enterprise Linux 6.5(64 ビット)
ウィルス対策について
Quartus Prime ソフトウェア・リリース・バージョン 16.0 は、以下のソフトウェアを使用するこ
とによりウィルスを防御できることが確認されています。
Windows 向け確認済みウイルス対策ソフトウェア
McAfee Agent バージョン:5.0.1.516
McAfee VirusScan Enterprise + AntiSpyware Enterprise バージョン:8.8.0 (8.8.0.1445)
Scan Engine バージョン(32 ビット):5800.7501
Scan Engine バージョン(64 ビット):5800.7501
DAT バージョン:8124.0000
Linux 向け確認済みウイルス対策ソフトウェア
McAfee VirusScan Enterprise for Linux バージョン:1.9.1
Engine バージョン:5700.7163
DAT バージョン:7720
Altera Corporation
Quartus Prime プロ・エディション・ソフトウェアおよびデバイス・サポート・リリース・ノート・バージ
ョン 16.0
フィードバック
RN-01082-16.0.0
2016.05.09
最新の Quartus Prime ソフトウェアの既知の問題
7
最新の Quartus Prime ソフトウェアの既知の問題
Quartus Prime ソフトウェア・バージョン 16.0 に影響する既知の問題についての情報は、アルテ
ラのKnowledge Base ウェブページで入手可能です。
Known Software Issues Affecting the Quartus Prime software version 16.0
以前のバージョンの Quartus Prime ソフトウェアの既知の問題についてもアルテラの Knowledge
Base ウェブページで確認できます。
以前のバージョンの Quartus II ソフトウェアに影響する既知の問題についての情報は、 Quartus
Prime and Quartus II Software Support ウェブページで入手可能です。
アルテラの IP ライブラリに影響する問題についての情報は、Altera IP Release Notes で入手可能で
す。
関連情報
• Altera Knowledge Base
• Quartus Prime and Quartus II Software Support
• Altera IP Release Notes
改訂履歴
表 7: Quartus Prime ソフトウェア・リリース・バージョン 16.0 資料改訂履歴
日付
2016 年 5 月 16.0.0
バージョン
変更内容
初版
Quartus Prime プロ・エディション・ソフトウェアおよびデバイス・サポート・リリース・ノート・バージ
ョン 16.0
フィードバック
Altera Corporation