次世代低炭 - 京都大学 ナノテクノロジーハブ拠点

京都大学
文部科学省 低炭素社会構築に向けた研究基盤ネットワーク整備事業
ご挨拶
平成 21 年度の文部科学省の補正予算により、本学「次世代低炭素ナノデバイ
ス創製ハブ拠点」が平成23年4月に開設されました。
開設にご尽力いただいた
皆様に御礼申し上げます。
低炭素社会を実現するためには、エネルギーを「創る」
「蓄える」
「使う」
「戻す」
と
いう4つの領域での画期的な技術革新が求められます。
本拠点は、次世代のナノ
テクノロジー、ナノ材料・ナノマイクロデバイス・ナノマイクロシステムの研究
開発において必須の多種多様な基板・薄膜材料をウェハレベルで加工・評価す
ることができるナノマイクロファブリケーション試作ラインを整備しました。
そ
して、この拠点は全国のナノテクノロジー材料およびデバイスの研究者に広く開
かれたシステムとして運用されます。
加えて本拠点は、国内の研究者の高度な基
礎研究と応用研究を支援するため、平成 22 年度に設置した学際融合教育研究推
進センターに所属する、新たな人事制度によって雇用された、高度専門技術職員
京都大学 総長 松本 絋
(中間職)
により運営されるという特色をもっています。
また、拠点を利用する研究
者が相互に研究内容・技術について活発に交流することができ、さらなる研究課
題の設定や新たな基礎・応用研究を創出できるようアンダーワンルーフ型の研
究交流環境も提供します。
本拠点が日本のナノテクノロジー研究者の研究を飛躍的に加速させ、日本の学
術研究・産業の優位性を確保するとともに、人材以外に資源の乏しい日本が節約
の心で磨き上げてきた省資源をもたらすナノテクノロジーの更なる技術革新に
よって、人類の生存を脅かす資源をめぐる紛争を軽減し、人類のサバイバビリ
ティーに寄与できることを期待しています。
これまで、日本国内には研究者が共同利用できるナノテクノロジー加工拠点が
ありませんでした。
そのため、多くの研究者が自ら装置を購入・維持し運用すると
同時に研究開発を進める必要がありました。
この度、本学に整備できましたナノデバイス創製ハブは、高度な最新鋭の加工装
置・加工時に必要な評価装置群を有し、各大学からの研究者が個々の独自性を保
てるサテライトラボとのシームレスな研究開発・教育環境を提供し、研究開発に
おける試作・実験を支援するのみならず、
研究者・学生への教育を支援いたします。
本ハブを利用することで、これまで、教員・学生およびナノテクノロジーを専門と
拠点マネージャー 小寺秀俊
京都大学 副理事(総長室長)工学研究科教授
しない研究者および企業の研究者が高度専門技術者研究者による技術指導を利
用して新たな材料・デバイスの研究を行うことが可能となります。
また、次世代の研究者・技術者を育成するための教育施設として講義や演習な
ども、
今後提供して行く予定です。
本ハブの積極的な利用により、それぞれの研究開発が高度化するとともに、研究
者・技術者の交流が広まり、その結果、新規なテーマやアイデアが創出されること
を期待しています。
次世代低炭素ナノデバイス創製ハブ
微細加工・試作を担う
「次世代低炭素ナノデバイス創製ハブ拠点」
本ハブは文部科学省の平成 21 年度第 2 次補正予算において、
「成長戦略への
布石」である「環境・エネルギー技術への挑戦」の一環として設立された「低
炭素社会構築に向けた研究基盤ネットワークの整備」事業において、材料創製
を担う「低炭素化材料設計・創製ハブ拠点」
(物質・材料研究機構)
、評価・計
測を担う「環境材料・先端ナノ計測ハブ拠点」
(東京大学)とともに微細加工・
試作を担う「次世代低炭素ナノデバイス創製ハブ拠点」として京都大学に設定
されました。
本事業では、ナノテクノロジーを環境・エネルギー技術に適用・融合させた「グ
リーン・ナノテクノロジー」に関する研究成果・知見を結集し、環境技術の実用
化を加速させるため、三つのハブ拠点と特色ある個別研究成果の実用化を加速
する 15 のサテライト拠点からなる課題解決型研究ネットワークの基盤が整備さ
れ ま す。事 業 の 詳 細 は「低 炭 素 研 究 ネ ッ ト ワ ー ク」の ホ ー ム ペ ー ジ
(http://www.nims.go.jp/lcnet/)を参照ください。
ウェハスケールで多種基板材料・薄膜材料を
ナノマイクロ加工できる装置環境を提供
本ハブは、
低炭素社会の実現に必要不可欠なエネルギーを「創る」
「蓄える」
「使
う」
「戻す」の 4 領域における様々な革新的次世代材料・ナノマイクロデバイス
の研究開発を加速するため、ウェハスケールで多種基板材料・薄膜材料をナノ
マイクロ加工できる装置環境を研究者・学生に提供し、低炭素化を早期に実現
する学術研究・応用研究・開発研究と学から産業界への技術移転等に寄与します。
ナノ材料・デバイスの原理確認、原理試作にとどまらず、ハブに備えられた4、
6インチの各種基板に対応できる加工装置群や評価装置群により、高度専門技
術職員の運用サポートを受けながら、利用者自らが自らの研究成果の実用化に
向けた試作や評価を行えます。
大面積大量試作を実現することで、
研究開発の加速・早期実現を可能に
本学は、低炭素化を実現する材料からデバイス・システムまでの豊富な研
究実績を有しており、その高度な研究実績を踏まえた利用技術を提供するこ
とができます。また、既存の支援装置を加えて、基礎研究から応用・開発研
究まで大面積大量試作を実現することで、研究開発の加速・早期実現を可能
にします。
高度専門技術職員が
運用をサポート
本学が新たに設けた高度専門技術職員が、運用サポートを行うことで、ハ
ブの機能の強化を図っていきます。利用者には、専門教育を含めた利用教育
を行い、ハブの高度利用を実現していきます。
また、ハブ内各所に設けた遠隔会議システム等により、利用者の所属機関
とのシームレスな通信環境と居室スペースを提供し、他のハブおよびサテラ
イトと強固に連携することで広範な支援を実現します。
装置構成
4 インチ・6 インチの Si を含む種々の基板を用いることを可能に
本ハブは、4 インチ・6 インチの Si を含む種々の基板を用いることを可能にし、
薄膜の形成からマイクロナノ加工を行うことができる装置群と評価装置群から構成しています。
ナノリソグラフィー装置群
A1
高速高精度電子ビーム描画装置
A2
露光装置(ステッパー)
品 番
縮小投影型露光装置
NSR-2205i11D
品 番
超高精細高精度電子ビーム描画装置 ELS-F125HS
メーカー名 (株)エリオニクス
説 明
加速電圧
125kV を採用し、かつ最小ビーム径をφ1.7nm
メーカー名
(株)ニコン
にすることで最小加工線幅
5nm が可能
説 明
解像度 350nm 以下
露光倍率 1:5
A3
レーザー直接描画装置
A4 品 番
レーザー描画装置
DWL2000
メーカー名
Heiderberg
Instruments Mikrotechnik
説 明
フォトマスク作製可
最小描画サイズ:0.6 μm
A5
両面マスクアライナー
品 番
マスクレス露光装置
D-light DL-1000GS/KCH
メーカー名 (株)ナノシステム
ソリューションズ
説 明
厚膜レジストにも対応可能
A6
手動両面マスクアライナ
品 番
SUSS MA6 BSA
メーカー名
ズース・マイクロテック(株)
説 明
高性能手動両面マスクアライナ
厚いレジストにも対応
A7
厚膜フォトレジスト用スピンコーティング装置
A8
A10
マイクロマシン
品 番
/MEMS 用スプレーコーター
メーカー名
ウシオ電機(株)
ウェハスピン洗浄装置
品 番
ウエハスピン剥離・洗浄装置
KSC-150CBU
メーカー名 (株)カナメックス
説 明
ケミカル洗浄、純水洗浄(メガソ
ニックや 2 流体)スピン乾燥を行
う枚葉式ウエハスピン洗浄装置
レジスト現像装置
品 番
ウエハスピン現像装置
KD-150CBU
メーカー名 (株)カナメックス
説 明
用途 フォトレジスト、EB レジス
トのアルカリ現像、リンス、スピ
ン乾燥
説 明
フォトレジスト塗布用
A11
レジスト塗布装置
品 番
ウエハスピンコータ
KRC-150CBU
メーカー名 (株)カナメックス
説 明
・二種類のレジスト塗布可能
・エッジリンス機能
メーカー名
ズース・マイクロテック(株)
説 明
汎用性に優れたスピンコータシステム
スプレーコータ
紫外線露光装置
品 番
マスクアライナー MA-10
型
メーカー名
ミカサ(株)
説 明
φ4
インチまでの不定形
試料に対応する実験用マス
クアライナ
品 番
SUSS DELTA80T3/VP
A9
高速マスクレス露光装置
A12
ウェハ汚染計測装置
品 番
Agilent
7700x ICP-MS システム
メーカー名
アジレント・テクノロジー(株)
説 明
Si
基板上の汚染物質を
分析可能
ナノ材料加工・創製装置群
B1
多元スパッタ装置A
B3
B2
多元スパッタ装置B
品 番
自動蒸着装置
EB1200
品 番
汎用スパッタリング装置
EB1100
キャノンアネルバ(株)
電子線蒸着装置
メーカー名
説 明
メーカー名
10kW4 連 E 型電子銃搭載
の各種金属成膜装置
キャノンアネルバ(株)
説 明
加熱温度 800℃を実現した
スパッタリング装置
4 元
(A)
・3 元
(B)PMC 搭載 B5
プラズマ CVD 装置
B6
品 番
2 厚膜形成用プラズマ CVD 装置
SiO
Model:MPX-CVD
メーカー名
住友精密工業(株)
説 明
TEOS
(テトラエトキシシラン)により
酸化シリコンを高速で成膜
B8
深堀りドライエッチング装置
品 番
NVision40PI
メーカー名
エスアイアイ・
ナノテクノロジー(株)
説 明
高性能
FIB と FE-SEM の複合装置
B9
品 番
MEMS
用高速シリコンエッチング装置 RIE-800iPB-KU
メーカー名
サムコ(株)
MEMS 用
ボッシュプロセスを導入した
説 明
高速シリコンエッチング装置
(13.56MHz, 400kHz RF 電源搭載)
B10
ドライエッチング装置
シリコン酸化膜犠牲層
ドライエッチングシステム
B11
赤外フェムト秒レーザー加工装置
B13
基板接合装置
品 番
SUSS
SB8e
メーカー名
ズース・マイクロテック(株)
説 明 プログラマブル接合チャンバを備え
た半自動基板接合装置
シリコン犠牲層
ドライエッチングシステム
品 番
シリコン犠牲層エッチング装置
X-SYS-3B(Xactic 社製 Xetch X3B)
メーカー名
住友精密工業(株)
説 明
XeF
2 ガスにより Si を高選択比
エッチングが可能
B16
品 番
FREGAT
メーカー名
(株)東京インスツルメンツ
説 明
波長 1.23 μm の短パルスレーザー
を集光し、精密な加工を実現
B17
電子サイクロトロン
共鳴イオンビーム加工装置
品 番
ECR
イオンシャワー装置 EIS-1200
メーカー名 (株)エリオニクス
説 明
ECR
プラズマにより直進性の良い
ビームが得られ微細加工が可能
品 番
シリコン酸化膜犠牲層エッチング装置
MLT-SLE-0x
メーカー名
住友精密工業(株)
説 明
HF
ガスによりシリコン酸化膜を
選択的にエッチングが可能
B14
磁気中性線放電
ドライエッチング装置
品 番
高密度プラズマドライエッチング
装置 NLD-570
メーカー名 (株)アルバック
説 明
石英・ガラス・金属酸化物など多種材料
に対応したドライエッチング装置
品 番
リアクティブイオンエッチング装置
RIE-10NR-KF
メーカー名
サムコ(株)
説 明
汎用性ドライエッチング装置
B12
集束イオンビーム
/走査電子顕微鏡
紫外線ナノインプリント
ボンドアライメント装置
品 番
SUSS
MA/BA8 Gen3
メーカー名
ズース・マイクロテック(株)
説 明
基板接合前の
2 枚の基板のアライメント
や UV インプリントに対応
B18
レーザダイシング装置
品 番
Mahoh
Dicer ML200
メーカー名 (株)東京精密
説 明
・ドライプロセスによるダイシ
ングマシン
・薄ウエハの切断可能しかも
高速切断可(300mm/sec)
ナノ材料分析・評価装置群
C1
超高分解能電界放出形
走査電子顕微鏡
C2
品 番
日立低真空分析走査
電子顕微鏡 SU6600
品 番
日立超高分解能電界放出形
走査電子顕微鏡 SU8000
メーカー名
(株)日立ハイテクノロジーズ
メーカー名
説 明
(株)日立ハイテクノロジーズ
EDX、EBSD を搭載
低真空モードでの観察可能
説 明
極低加速電圧(100V)での
試料観察も可能
C3
高速液中原子間力顕微鏡
C4
NanoLiveVision
メーカー名
(株)生体分子計測研究所
説 明
10fps の動画観察可能な原子間力顕微鏡
共焦点レーザー走査型顕微鏡
C6
共焦点レーザー走査型顕微鏡
品 番
FV1000
メーカー名
オリンパス(株)
説 明
3
次元の蛍光イメージング
ビデオレートでの蛍光画像取得可能
C8
C9
全反射励起
蛍光イメージングシステム
長時間撮影
蛍光イメージングシステム
分光エリプソメーター
C10
ゼータ電位・粒径測定システム
C12
メーカー名
JPK
インスツルメンツ
説 明
2
つのレーザービームで粒子を
捕捉 pN レベルの力を測定
C14
C16
プローバ
品 番
微小電流測定用ウエーハマニュ
アルプローバ Model 708fT
メーカー名
(株)日本マイクロニクス
説 C19
明
を計測器として用いる
マイクロシステム アナライザ
品 番
MSA-500-TPM2-20-D
メーカー名
ポリテックジャパン(株)
説 明
MEMS
の動的特性(面外・面内)
および表面形状を 3 次元で測定
品 番
触針式表面形状測定器
Dektak150
メーカー名 (株)アルバック
説 明
表面粗さ、段差を
ナノメートルの分解能で評価
C17
ダイナミック光散乱光度計
品 番
ダイナミック光散乱光度計
DLS-8000DH
メーカー名
大塚電子(株)
説 明
微粒子の粒径を動的
光散乱により評価
ゼータ電位・粒径測定システム
高感度仕様 ELSZ-2Plus
メーカー名
大塚電子(株)
説 明
微粒子・平板・フィルムの
ゼータ電位測定 pH タイトレータ付
触針式段差計
三次元粒子
トラッキングシステム
品 番
Nano
Tracker
品 番
C15
X線回折装置
品 番
全自動水平型多目的X線回折装置
SmartLab
メーカー名 (株)リガク
説 明
薄膜試料の逆格子マッピング、
インプレーン測定、
反射率測定、微小部測定等多様な測定に対応
品 番
分光エリプソメータ FE-5000
大塚電子(株)
メーカー名
説 明
1
μm 以下の薄膜の膜厚と
光学定数を評価
波長範囲 300 〜 800nm
C13
3D 測定レーザー顕微鏡
品 番
3D
測定レーザー顕微鏡
OLS4000
メーカー名
オリンパス(株)
説 明
表面形状の評価・
透明試料の評価も可能
オリンパス(株)
メーカー名
C11
走査型プローブ顕微鏡システム
品 番
原子間力顕微鏡 NanoWizard
Ⅲ
メーカー名
JPK
インスツルメンツ
説 明
大気中、液中での
AFM。
様々な試料を観察可能。
力学計測や試料切断も可能
品 番
高速原子間力顕微鏡
C5
分析走査電子顕微鏡
C18
真空プローバ
真空マニュアルプローブシステム PLV50
カスケード・マイクロテック(株)
説 明
φ150mm 基板まで対応できる
マニュアルプローブシステム
(-40℃〜 +200℃温調制御可能)
品 番
メーカー名
http://www.mnhub.cpier.kyoto-u.ac.jp をご覧ください
ご利用ご希望の方は NO
装置名
品番
メーカー名
A13
液滴吐出描画装置
サブフェムトインクジェット加工装置
(株)SIJ テクノロジ
ST050
A14
有機現像液型
レジスト現像装置
KD(EB)-150CBU
(株)カナメックス
B4
真空蒸着装置
抵抗加熱蒸着装置 L-045E
(株)サンバック
B7
熱酸化炉
チューブ炉 MT-8x59-S
光洋サーモシステム(株)
B15
レーザアニール装置
LAEX-1000 KrF エキシマレーザアニーリ
ングシステム
AOV(株)
B19
ダイシングソー
オートマチックダイシングソー
DAD322
B20
真空マウンター
真空テープ貼り付け装置 VTL-201
日本電気(株)
B21
紫外線照射装置 LED 光源 UV フィルム硬化装置
LED-4082
テクノビジョン
B22
エキスパンド装置
ウエハ拡張装置
TEX-21BG GR-5 対応
テクノビジョン
B23
ウェッジワイヤボンダ
超音波熱圧着ウェッジワイヤーボンダー
7400D
ハイソル(株)
(ウエスト・ボンド社)
B24
ボールワイヤボンダ
超音波熱圧着ボールワイヤー
&バンプボンダー
7700D
ハイソル(株)
(ウエスト・ボンド社)
B25
ダイボンダ
マニュアルエポキシボンダー 7200CR
ハイソル(株)
(ウエスト・ボンド社)
B26
ナノインプリントシステム
Eitre™ 3
Obducat Technologies AB 社
B27
赤外透過評価検査 /
非接触厚み測定機
IRise-T
顕微鏡観察・測定装置
ユニバーサル測定顕微鏡・画像ユニット
(株)ミツトヨ
システム MF-UB2010C
C19
パワーデバイスアナライザ
半導体パラメータアナライザ B1505A
アジレント・テクノロジー(株)
C20
インピーダンスアナライザ
プレシジョンインピーダンスアナライザ
4294A
アジレント・テクノロジー(株)
C21
光ヘテロダイン
微小振動測定装置
MLD-230D-200K
ネオアーク(株)
C22
超微小材料
機械変形評価装置
超微小押し込み硬さ試験機 ENT-2100
(株)エリオニクス
C23
デジタルマイクロスコープ
デジタルマイクロスコープ VHX-1000
(株)キーエンス
C24
セルテストシステム
マルチスタット 1470E 型
周波数応答アナライザ 1260 型
C25
卓上顕微鏡(SEM)
日立卓上顕微鏡 Miniscope TM3000
(株)日立ハイテクノロジーズ
C26
マニュアルプローバ
マニュアルプローバ
(株)アポロウェーブ
C27
RF プローブキット
ZPROBE
(株)アポロウェーブ
C28
ネットワークアナライザ
ベクトル・ネットワーク・アナライザ
10MHz to 14GHz
(株)アポロウェーブ
(ROHDE&SCHWARZ 社製)
C29
半導体パラメータアナライザ
半導体パラメータアナライザ 4200-SCS
(株)アポロウェーブ
(Keithley Instruments 社製)
C7
(株)DISCO
(株)モリテックス
Solartron Analytical 社
※装置写真の著作権は各メーカーに所属します。無断の転載・複製を禁止します。
施設の概要
建 物
総合研究 6 号館
工学部 1 号館
部 屋
面 積
仕 様
イエロールーム
177.6 ㎡
クラス 100
クリーンルーム1
110.1 ㎡
クラス 1,000
クリーンルーム2
53.8 ㎡
クラス 1,000
管理室
34 ㎡
―
(1F)加工・評価室
327 ㎡
クラス 100,000
(BF)第2加工・評価室
56 ㎡
―
イエロールーム
クリーンルーム
加工・評価室
アクセス
N
白川通
東大路通
百万遍
京大農学部前
今出川通り
出町柳
今出川
クリーンルーム
神宮
丸太町
烏丸
御池
三条
京阪
四条
烏丸
祇園
四条
工学部1号館1F/BF
工学部 物理系校舎
京都大学
地下鉄東西線
川端通
京阪本線
京都
加工・評価室
受付327号室
時計台記念館
東山
河原町
阪急京都本線
総合研究6号館
東大路通り
東一条通
近衛通
二条
京都大学吉田キャンパス
サテライトオフィス328号室
京大正門前
正門
東一条通り
ご利用の方は、京都大学 吉田キャンパス
工学部 物理系校舎 3 階 327 号室へお越しください。
市 バ ス:206 系統「東山通・北大路バスターミナル」行 「京大正門前」または「百万遍」下車
タクシー:約 20 分
四条河原町より
市バス:201 系統「祇園・百万遍」行、 「京大正門前」または「百万遍」下車
31 系統「高野・岩倉」行 地下鉄 今出川駅より
市バス:201 系統「百万遍・祇園行」
「京大正門前」
「百万遍」下車
203 系統「銀閣寺道・錦林車庫行」
「百万遍」または「京大農学部前」下車
京阪 出町柳駅より
東へ徒歩 約 15 分
▼
▼
▼
▼
京都駅より
ご利用ご希望の方は
http://www.mnhub.cpier.kyoto-u.ac.jp
をご覧ください
京都大学 次世代低炭素ナノデバイス創製ハブ
拠点マネージャー:小寺秀俊 副理事(総長室長)工学研究科教授
〒606-8501 京都市左京区吉田本町 京都大学 工学部 物理系校舎327号室
TEL:(075)
753-5231 FAX:(075)
753-5650 E-mail: [email protected]
2012.2 ver.1.3