= x1x3 + x2x3 + x2x3 2.13. Derivation of the minimum sum

=
=
(x + y) · (x + y) =
(x1 + x2 x2 )(x1 + x2 x3 ) =
x1 (x1 + x2 x3 )
=
x1 x1 + x1 x2 x3
=
=
x1 x2 x3
=
2.36. (a)
=
xx + xy + xy + yy
x + xy + xy + 0
x(1 + y + y)
x·1
x
x
x0 y1 y0
2.12.
Derivation of
minimum
2.3. Manipulate
thethe
left
hand sidesum-of-products
as follows: 1 expression:
f
=
=
=
=
f
0 xz 0 = 0xy +0(x +1x)yz + xz
+ yz +
xxy
1 x3 + x1 x2 + x1 x2 x3 + x1 x2 x3
0
0
0 +1xyz 0+ xyz + xz
x1 (x2 + x2 )x3 +=x1 xxy
(x + x ) + x1 x2 x3 + x1 x2 x3
0
0
12 30 30
=
xy(1
z)0x+1 xx(y
x1 x2 x3 +0x1 x2 x
+1)z
x1 x2 x3
3+
2 x3+
0 3 + 1x1 x2 x
1+
= 2 x0xy
x1·x11 )x
· z2 x3
0 +1 +
x1 x3 + (x01 + x11 )x
(x
3 +· 1
0
1
0
1
1
= x1 x3 + x2 x3 + x=
2 x3 xy + xz
0
1
1
0
0
0
0
1
1
1
2.4. Proof
usingof
Venn
diagrams: sum-of-products expression:
2.13.
Derivation
the minimum
1
0
0
0
1
2.20.
The
simplest
SOP
implementation
of
the
function
is
1
1
0
0
1
2.25. The simplest SOP expression
f for
=thexfunction
1 x2 x3 +isx1 x2 x4 + x1 x2 x3 x4
x
y1
0
1
0 x 1 y
=1=x3xxx15x
+ xx24x)13+
x xx22xx413 x+
x x x
x22xxx313(x
f =f x
1+
34xx401+
1 x+
1x+4 xx1511+
0z +2 x311x522x33 4
z
=1=x3xx(x
xx131xxx143)x
+412 x+31 xx+210x
x
++xxx1112xx)x
x +x x x x
(x5402+
15x+
12 +
= x
x
x341 x
32x354 + x11x22x33x54
x
y
x
y
=
x
x
+
x
x
=
x
x
x
+
x
x
(x
+
x
)x
+
x
1
1
0
1
1
1 2x213x33 x41+
13x21 x43x5 + 3
= x1 x3 +
x1 x42 x3 x51 x2 x4
1
1
1
0
=1 x3x+
+5 x+1 xx21x42 x+3 xx51 x2 x41
= x
1 xx21xx34 x
1
1
1
1 x 1 y
x
y
= x1 x3 + x1 x4 x5 + x2 x3 x5
2.14. The simplest POS expression is derived
as
z
z
2.21.(b)
The
simplest
SOPSOP
implementation
The
canonical
expression of
is the function is
f = (x1 + x3 + x4 )(x
y z1 + x2 + x3 )(x1 + x2 x+z x3 + x4 )
x1xx2xx3y+yx1+
x x3 x+ yx1yx2 x
x1 y
x2 y
x3 +
xx2 xy3 y + x x y y
fy=
3 +x
f
=
x
x
y
+
+ xxx411)(x
1(x01 1
1
0
0 1++xx
1 2 0+
0 11+0x2 +1 30 +
1 x04 )
+ 0xfor
x4function
)(x11 0+ x2isx
1x30 +
3+
2 1+ 0x31)(x
2.26. The simplest POS=expression
the
=
x+
xy2y)x
+xx1 (xy2 +
x+
+ (x y + x1 )x2 x3
1 (x
2x +
3+
2 )x
x 31 x0 yy11
y
y
x
x
y
y
x
1x
0
1
0
0
1
0
1
0
= +x(x
+
x
+
x
)(x
+
x
+
x
)((x
+
x
+
x
)(x
+
x
))
1 30
01
4
11
2
3
2
4
3
3
f = 1 (x
3 3+ x4 )(x1 + x2 + x3 )
=1 +x1xx33++xx41)(x
x3 2++xx2 x
= (x1 + x3 + x4 )(xz 1 + x2 + x3 )(x1 + x2 +
z x4 ) · 1
(c) The simplest SOP expression
= (x1 + xis3 + x4 )(x2 + x3 + x4 )(x1 + x2 + x3 )(x1 + x2 + x3 )
Another possibility
x )
=is (x1 + x3 + x4 )(x1 + x2 + x3 )(x1 + xx2 y+
= (x1 + x3 + xx 4z)(x2 + x3 + x4 )(x2 + x+3x) z4
f = x1 x0 + y 1 y 0 + x1 y 0 + x0 y 1
= (x1 + x3 +fx4 )(x
=2+
x1x33)+ x1 x3 + x1 x2
2.15. Derivation of the minimum product-of-sums
expression:
x
y
f
= (x1 + x2 + x3 )(x
1 + x2 + x3 )(x1 + x2 + x3 )(x1 + x2 + x3 )
z
=
((x + x ) + x3 )((x1 + x2 ) + x3 )(x1 + (x2 + x3 ))(x1 + (x2 + x3 ))
2.37.
f=
x̄ 1 x
2 + x̄2 x13 for 2
x ythe
+ x function
z + y z is is
2.27.
The form:
simplest
POS
expression
the
function
2.22.SOP
The
simplest
POS
implementation
of
x2x)(x
POS form: f = (x̄1 =
+ x̄2(x
)(x
1 +
2 +
3 ) 2 + x3 )
f = (x2 +f x3=+ x(x
+ x+3 x
+ )(x
x5 )(x+1 x+ x+2 x+ )(x
x5 )(x
5 )(x+
1x
1 + x4 + x5 )
1
2
3
1
2
3
1 + x2 + x3 )
= (x2 + x3=+ x((x
)(x
+
x
+
x
)(x
+
x
+
x
)(x
x2 + x5 )(x1 + x4 + x5 )
1
3
5 2-1
1 + x2 ) + x
5 )(x
1 +
1 + x3 ) + x
2 )((xdiagram:
1
3
2
1 + x2 + x3 )
2.16.SOP
(a) form:
Location
minterms in5 a 3-variable
Venn
2.38.
f =ofxall
1 x̄2 + x1 x3 + x̄2 x3
+ )(x
x3 ++
x5x)(x+1 x+ )x5 )(x1 + x4 + x5 )
= (x + x3=+ x(x
5 )(x+
1x
3
POS form: f = (x1 +2 x3 )(x
1 + x̄12 )(x̄23 + x13 ) 2
= (x2 + x3 + x5 )(x1 +mx5 )(x1 + x5 (x4 + x5 ))
0
= (x2 + x3 + x5 )(x1 + x5 )(x1x+
1 x5 x4 ) x 2
2.39. SOP form: f = x̄ 1 x2 x3 x̄4 + x1 x2 x̄3 x4 + x̄2 x3 x4 m 6
= (x2 + x3 + x5 )(x1 + x5 )(x
1 + x4 )
m2
f=
(x̄1implementation
+ x4 )(x2 + xof
+m
x̄34 + x̄
3 )(x̄
2.23.POS
The form:
simplest
POS
the2 function
is4 )(x2 + x4 )(x1 + x3 )
f
m5
m7
m3
= (x + x + x )(x + x + x )(x + x + x )(x + x + x )
2
2
3
1
2
3
1
2
3
2.40. SOP form: f = x̄ 2 x̄3 + x̄1 2 x̄4 +
x2 x3 3 x41
x)3 + x )((x + x ) + x )((x + x ) + x )
+
x
)
+
x
)((x
+
x
=
((x
1defined
2
3
1
2
3
1
3
2
1
3
2
2.28.POS
The form:
lowest-cost
circuit
f = (x̄
2 + xis
3 )(x
2 + x̄by
3 + x̄4 )(x̄2 + x4 )m 1
= (x1 + x2 )(x1 + x3 )
f (x1 , x2 , x3 )
= x1 x2 + x1 x3 + x2 x3
2.41. SOP form: f = x̄ 3 x̄5 + x̄3 x4 + x2 x4 x̄5 + x̄1 x3 x̄4 x5 + x1 x2 x̄4 x5
POS form: f = (x̄3 + x4 + x5 )(x̄3 + x̄4 + x̄5 )(x2 + x̄3 + x̄4 )(x1 + x3 + x4 + x̄5 )(x̄1 + x2 + x4 + x̄5 )
2.24. The simplest SOP expression for the function is
2-5 none of the inputs or all three inputs are equal to 0;
2.29. The function, f, of this circuit is equal to 0 when either
otherwise, f is equal to 1. Therefore,
circuit can be realized as
f = using
x x xthe+POS
x xform,
x +the
x xdesired
x
1 3 4
2 3 4
1 2 3
+ x1 x2 x3 + x1 x2 x3
4+x
2 x3 x4 3)
ΠM(0,
f (x1 ,=x2 , x13x) 3 x=
2-11
= x1 x3 x=
x1xx33)(x1 + x2 + x3 )
4+x
(x2 1x3+x4x+
2+
= x2 x3 x4 + x1 x3
2.30. The circuit can be implemented as
f
=
x1 x2 x3 x4 + x1 x2 x3 x4 + x1 x2 x3 x4 + x1 x2 x3 x4 + x1 x2 x3 x4
=
x1 x2 x3 (x4 + x4 ) + x1 x2 (x3 +
2-7x3 )x4 + x1 (x2 + x2 )x3 x4 + (x1 + x1 )x2 x3 x4
2.51 (etc). Using the ciruit in Figure 2.32a as a starting point, the function in Figure 2.31 can be implemented using
NAND gates as follows:
2.51 (etc). Using the ciruit in Figure 2.32a as a starting point, the function in Figure 2.31 can be implemented using
NAND gates as follows:
f
f
x1
x2
xx=
31
(x1 + x2 x2 )(x1 + x2 x3 )
x2
=
x3
=
x1 (x1 + x2 x3 )
x1 x1 + x1 x2 x3
=
x1 x2 x3
2.52. Using the ciruit in Figure 2.32b as a starting point, the function in Figure 2.31 can be implemented using
NOR gatesof
asthe
follows:
2.12. Derivation
minimum sum-of-products expression:
2.52. Using the ciruit in Figure 2.32b as a starting point, the function in Figure 2.31 can be implemented using
NOR gates as follows:
f = x1 x3 + x1 x2 + x1 x2 x3 + x1 x2 x3
x3
= x1 (x2 + x2 )x3 + x1 x2 (x3 + x3 ) + x1 x2 x3 + x1 x2 x3
x2
x
x 13
= x x x +x x x +x x x +x x x +x x x
x2
x1
1 2 3
1 2 3
1 2 3
1 2 3
=
x1 x3 + (x1 + x1 )x2 x3 + (x1 + x1 )x2 x3
=
x1 x3 + x2 x3 + x2 x3
1 2 3
2.13. Derivation of the minimum sum-of-products expression:
f
f
f
= x1 x2 x3 + x1 x2 x4 + x1 x2 x3 x4
= x1 x2 x3 (x4 + x4 ) + x1 x2 x4 + x1 x2 x3 x4
= x1 x2 x3 x4 + x1 x2 x3 x4 + x1 x2 x4 + x1 x2 x3 x4
= x1 x2 x3 + x1 x2 (x3 + x3 )x4 + x1 x2 x4
= x1 x2 x3 + x1 x2 x4 + x1 x2 x4
2.14. The simplest POS expression is derived as
2.53. The circuit in Figure 2.39 can be implemented using NAND and NOR gates as follows:
2.53. The circuit fin Figure
2.39
can be implemented using NAND and NOR gates as follows:
= (x
1 + x3 + x4 )(x1 + x2 + x3 )(x1 + x2 + x3 + x4 )
= (x1 + x3 + x4 )(x1 + x2 + x3 )(x1 + x2 + x3 + x4 )(x1 + x2 + x3 + x4 )
= (x1 + x3 + x4 )(x1 + x2 + x3 )((x1 + x2 + x4 )(x3 + x3 ))
= (x1 + x3 + x4 )(x1 + x2 + x3 )(x1 + x2 + x4 ) · 1
= (x1 + x3 + x4 )(x1 + x2 + x3 )(x1 + x2 + x4 )
2.15. Derivation of the minimum product-of-sums expression:
f
= (x1 + x2 + x3 )(x1 + x
2 + x3 )(x1 + x2 + x3 )(x1 + x2 + x3 )
2-13
2-13
= ((x1 + x2 ) + x3 )((x1 + x2 ) + x3 )(x1 + (x2 + x3 ))(x1 + (x2 + x3 ))
= (x1 + x2 )(x2 + x3 )
2.16. (a) Location of all minterms in a 3-variable Venn diagram:
m0
x1
m4
m5
x3
m6
m7
m1
x2
m2
m3
x2 f
x4
= x1 x2 x3 + x1 x2 x3 + x1 x2 x3 + x1 x2 x3 + x1 x2 x3
= x1 (x2 + x2 )x3 + x1 x2 (x3 + x3 ) + (x1 + x1 )x2 x3 + x1 x2 x3
= x1 · 1 · x3 + x1 x2 · 1 + 1 · x2 x3 + x1 x2 x3
f
= x1 x3 + x1 x2 + x2 x3 + x1 x2 x3
2.34. The truth table that corresponds to the timing diagram in Figure P2.4 is
h
x1
x2
x3
f
0
0
0
0
1
0
0
1
0
1
0
1
!
0
1
1
1
2.54. The minimum-cost SOP expression for the function f (x 1 , x2 , x3 ) =
m(3, 4, 6, 7) is
1
1
0
0
f1= x10x3 + 1x2 x3 0
1
1
0
0
The corresponding circuit implemented using 1NAND
1 gates
1 is 1
2.64. The Verilog code is
x1
2.42. SOP
f =POS
x̄ 2prob2
xexpression
x̄1 x
x̄1x3,
x3 x4,
+ x̄f1,3 x̄f2);
(x1,
x2,
module
3 + 50
5+
4 + x̄2 x5
Theform:
simplest
x 3 is f = (x1 + x2 + x3 )(x1 + x2 + x3 )(x1 + x2 + x3 ).
POS form: f input
= (x̄1x1,
+ x2,
x̄2 x3,
+ x̄x4;
3 )(x̄1 + x̄2 + x̄4 )(x3 + x̄4 + x5 )
f
output f1, f2;
2.35. (a)
x2
2.43. SOP form: f assign
= x 3 x̄f14 x̄=5(x1
+ x̄
x̄4 x5| +
x1 x2&x4∼x4)
+ x|3(x1
x4 x&5 x2)
+ x̄|2(x1
x3 x&4 ∼x4);
+ x2 x̄3 x4 x̄5
&3∼x3)
(x2x&
| (∼x3
1 x∼x3)
4 x5 +
assign
f2
=
(x1
|
∼x3)
POS form: f = (x3 + x4 + x5 )(x̄&3 (x1
+ x| 4x2+| ∼x4)
x̄5 )(x&1 (x2
+ x̄|2∼x3
+ x̄|3∼x4);
+ x̄4 + x5 )
x1 x0 y1 y0 f
! endmodule
0
0
0
0
1
2.44. f = ! m(0, 7)
!
0
0
0
1
f
=
m(1,
6)
2.55. A minimum-cost
SOP expression for the function f (x 1 , x2 , x3 ) =0 m(1, 3, 4, 6, 7) is
!
0
0
1
0
0
f = ! m(2, 5)
f=
0 x1 x02 + x11 x3 +1 x1 x03
f = ! m(0, 1, 6)
2.65. The Verilog code is
0
1
0
0
0
f=
m(0, 2, 5)
The corresponding circuit implemented using
NAND
gates
is1
0
1
0
1
etc.
module prob2 51 (x1, x2, x3, x4, f1, f2);
0
0
1
1
0
input x1, x2, x3, x4;
x
0
1
1
1
0
output f1,2f2;
2.45. f = x1 x2 x3 + x1 x2 x4 + xx1 x3 x4 + x2 x3 x41
0
0
0
0
1
assign f1 = (x1 & x3) | (∼x1
0 & ∼x4);
1 & ∼x3)
0 | (x2
0 & x4)
1 | (∼x2
assign f2 = (x1 & x2 & ∼x31 & ∼x4)
| (∼x1
&0∼x2 &
x3 & x4) |
0
1
2.46. SOP form: f = x 1 x2 x̄3 + x1 x̄2 x4 + x1 x3 x̄4 + x̄1 x2 x3 + x̄1 x3 x14 +
x2 x̄3 x4
f
(x1 & ∼x2 & ∼x3 & 1x4) | (∼x1
&
x2
&
x3
&
∼x4);
14 )(x02 + x3 +
POS form: f = (x1 + x2 + x3 )(x1 + x2 + x4 )(x01 + x13 + x
x4 )(x̄1 + x̄2 + x̄3 + x̄4 )
x3
1
1
0
0
0
The POS form has
lower cost.
endmodule
1
1
0
1
0
0
1
1
1
0
!
,1xshow
) =f = m(0,
7).
2.47.
The statement
false. Asinathe
counter
(x 1to
2 , x1
3that
1 consider
1 it isf1easy
2.66. Representing
bothisfunctions
form ofexample
Karnaugh
map,
g. The5,minimum
cost
Then,
the
minimum-cost
SOP
form
f
=
x
x
+
x̄
x̄
x̄
is
unique.
1 3
1 2 3
SOP expression is
are
+ x̄minimum-cost
+ x1 xforms:
fBut,
= gthere
= x̄2 x̄
3 x̄5two
2 x3 x̄4 + x1 x3 x4 POS
2 x4 x5 .
f = (x1 + x̄3 )(x̄1 + x3 )(x1 + x̄2 ) and
f(b)
= The
(x1 +
x̄3 )(x̄1POS
+ x3expression
)(x̄2 + x3 )is f = (x 1 + y )(x1 + y1 )(x0 + y )(x0 + y0 ).
simplest
2.67. Representing both functions in the form of Karnaugh map,
1 it is easy to show that0 f = g. The minimum cost
SOP expression is
2-14
f = g = x2 x4 + x1 x2 x4 + x1 x2 x3 + x2 x3 x4 .
2.48. If each circuit is implemented separately:
f = x̄1 x̄4 + x̄1 x2 x3 + x1 x̄2 x4
Cost= 15
2-10
2.68. Representing
map,
is easy
+ x̄functions
x4 +ofxKarnaugh
Costit=
21 to show that f and g do not represent
g = x̄1 x̄3 x̄4both
2 x3 x̄4 +inxthe
1 x̄3form
1 x2 x4
the same function. In particular: f (1, 1, 0, 1, 0) = 1 while g(1, 1, 0, 1, 0) = 0 and f (1, 1, 1, 1, 1) = 0 while
g(1,
1, 1, 1) = circuit:
1.
In a1,combined
f = x̄2 x3 x̄4 + x̄1 x̄3 x̄4 + x1 x̄2 x̄3 x4 + x̄1 x2 x3
g = x̄2 x3 x̄4 the
+ x̄circuit
2.69. Implementing
as+ x1 x̄2 x̄3 x4 + x1 x2 x4
1 x̄3 x̄4
The first 3 product terms are shared, hence the total cost is 31.
f
=
x2 x3 x4 + x1 x2 x3 x4 + x2 x3
2.49. If each circuit is implemented
g = separately:
x2 x3 x4 + x1 x2 x3 x4 + x1 x3 x4 + x1 x3 x4
f = x̄1 x2 x4 + x2 x4 x5 + x3 x̄4 x̄5 + x̄1 x̄2 x̄4 x5
Cost = 22
there
are
7
gates
and
22
inputs
for
a
cost
of
29.
g = x̄
x̄
+
x̄
x̄
+
x̄
x̄
x̄
+
x̄
x
x
+
x
x
x
Cost = 24
3 5
4 5
1 2 4
1 2 4
2 4 5
In a combined circuit:
f = x̄1 x2 x4 + x2 x4 x5 + x3 x̄4 x̄5 + x̄1 x̄2 x̄4 x5