11051855-B-5.pdf

1367
Subject Index
A
Abbe number 74, 75, 1066, 1333
about disordered
– ionic conduction 161
abrasive trimming 725
absorption 1064
– linear 1067
– rate 891
– saturation 1079
absorption coefficient 190, 1086,
1333
– amorphous semiconductors 570
– effective 1064
AC Josephson effect 1200
accelerated crucible rotation
technique (ACRT) 236, 305
accelerometers 729
acceptor 1333
– dopants 125
– doped oxide defect diagram 222
– impurities 216
acceptor concentration 1333
acceptor level 1333
acceptor-doped material 222
acceptors, group V 850
ACCUFET (accumulation-mode
MOSFET) 550
accumulation 1333
acoustic-phonon scattering 465,
767
ACRT 1333
activation energy 166, 217, 402,
845, 1113
active components 725
active material 1333
active matrix addressing 937, 1333
active matrix array (AMA) 1121
active matrix flat-panel imagers
(AMFPI) 1121
active region 914
actuator 728, 1152
AC conductivity 189
adhesive
– interconnects, rework 1283
– isotropic 1282
a-face growth 543
aggregation temperature 110, 114
Ag-In-Sb-Te (AIST) 1140
Aharonov–Bohm effect 1150
air mass (AM) 1096
air mass number 1333
AlGaAs 8, 10, 11
AlGaAs alloys 510
AlGaInp 11
AlGaN 8, 12, 13
– alloy 792
AlInGaAs, AlInGaP 8
AlInN/AlGaN lattice-matched
793
alkali growth 235
all-optical switch 1333
alloy
– binary, solid solution 26
– composition
interpolation scheme 736
– disorder scattering 40
alloy semiconductor
– optical spectra 748
alloys 1155
– Group III–V 739
– Group I–VII 739
AlN (aluminium nitride) 805, 820
– electrical properties 773
– electron transport 817
– film 760, 773, 788
– fundamental properties 755
– heteroepitaxial films 777
– lattice constants 757
– material parameters 809
– mechanical properties 759
– nucleation 769
– optical phonon frequencies 760
– optical properties 786
– single crystals 773
– thick film technology 720
alumina
– materials 720
– self-ordered porous 1005
– tape growth 236
– thick film substrates 720
aluminium oxide
– DRAM capacitor 649
ammonia sensor 1243
AMOLED display 1107
amorphous
– As2 Se3 140
– organic semiconductors 1113
– polymer 394
– selenium 146
– semiconducting film 1107
amorphous film 683, 687
– electronic properties 1108
– metallic 688
amorphous metaloxides 1333
amorphous network 1334
amorphous semiconductor 59, 99,
144, 565, 956, 1067, 1114
– absorption coefficient 570
– conduction band 566
– electrical conductivity 573
– electrical Properties 573
– electronic states 566
– Hall effect 574
– light-induced phenomena 575
– linear 1067
– optical properties 570
– photoluminescence 570
– photoluminescence spectra 65
– refractive index 1067
– stationary photoconductivity
179
– Structural properties 568
– thermoelectric power 574
– valence band 566
amorphous semiconductors 1334
amorphous silicon (a-Si:H) 140,
566, 587, 1334
– dangling-bond-defect 581
– dangling-bond-defect density
589
– device applications 590
– device-grade 582
Subject Index
π band 1333
π bond 1333
π-electron delocalisation 1256
π ∗ band 1333
σ bond 1333
µc-Si:H
– dangling-bond-defect 581
– dangling-bond-defect density
589, 590
– device applications 590
– device-grade 582
– epitaxial-like crystal growth 588
– film 588
– formation 585
– growth 582, 585, 587
– growth process 581
1-D photonic crystal 999
2-D electron gas (2DEG) 39
2DEG heterostructure 40
1368
Subject Index
Subject Index
– drift mobility 574
– electrical conductivity 574
– electronic material properties
1107
– electronic transport properties
1107
– growth 582, 585
– growth process 581
– hydrogenated 581
– optical properties 571
– sputtered 1114
– structural models 566
– TFT 1107
amorphous tantalum pentoxide
– capacitor 649
amorphous-to-crystalline transition
687
amperometric sensor 224
– charge transfer 1250
– sensitivity 1250
amphiphilic 1334
amphoteric dopants 1334
amphotericity 1334
amplifier 9
– optical 888
amplitude reflectance 695
analytical electron microscope
(AEM) 343
analytical electron microscopy
1334
Anderson model 165
Anderson’s criteria 1109
angular dispersive X-ray diffraction
(ADX) 758
anion 1334
anisotropic conductivity 1284
anisotropy
– dielectric 925
– energy 1180
– energy density 1157
– parameter 1207
– strength 89
annealing 126, 1334
anodic oxide film 678
anodisation 678
anti-ferroelectric 197, 604
antiferromagnet 90
antiferromagnetic
– film 1164
NiO 1165
Pd30 Pt20 Mn50 1165
synthetic 1166
– insulator
La2 CuO4 1203
YBa2 Cu3 O6 1203
– pinning films 1185
antiferromagnetically coupled (AFC)
1173
antiferromagnetically coupled media
(AFM) 1334
anti-reflection coating 692, 694,
696
anti-site defect 1334
apparent bandgap narrowing 487,
1334
areal density 1184, 1334
array package 1268, 1270
– physical property of material
1272
array photoconductive 860
array photovoltaic 858
arrays
– long wavelength (LW) 869
– medium wavelength (MW)
869
– two-color 880
– via-hole type structure 880
Arrhenius relation 122, 1334
Arrhenius temperature dependence
– inorganic glasses 219
Arrhenius–Néel model 1172
arsenic-based materials 276
as-deposited film 689
a-Se 1132, 1133
a-Si:H 140, 566
– dangling-bond-defect 581
– dangling-bond-defect density
589
– device applications 590
– device-grade 582
– drift mobility 574
– electrical conductivity 574
– electronic material properties
1107
– electronic transport properties
1107
– growth 582, 585
– growth process 581
– hydrogenated 581
– optical properties 571
– sputtered 1114
– structural models 566
– TFT 1107
a-SiNx :H film 1108
aspect ratio 1004
astigmatism 353, 1334
A-swirl 104, 112, 1334
– defects 102
asymmetric-AC sputtering 675
atom diffusion 121
atomic force microscopy (AFM)
279, 414, 660, 1233
atomic layer deposition (ALD) 285
atomic layer-epitaxy (ALE) 325,
328
– growth rate 333
atomic mixing 374, 383
atomic scattering factor 349
atomic sputtering rate 672
atomic vibration 391
attenuated total reflection (ATR)
587, 1334
Au wirebond 1273
Auger
– depth profiling 378
– electron 374
– electron emission 345
– hardware 375
– instrument calibration 374
– lifetime 862
– map 375
– quantitative analysis 374
– spectrum 374
Auger electron spectroscopy (AES)
373, 374, 1334
– surface sensitivity 374
Auger recombination
– nonradiative 901
– p-type material 870
aurivillius
– crystal structure 606
auto exhaust sensor 223
auto-compensation 1334
automotive electronics 538
avalanche
– breakdown 424, 914
– generation 471
avalanche photodiode (APD) 914
– single-photon counting 1034
– telecommunication 1034
average grain size 32
average hopping time 183
Avrami index 402
azo compound
– electrophotographic 972
– photosensitivity 973
– pigment 972
B
back end of line (BEOL) 1334
background flux 861, 865
background radiation 861
background-limited
– detectors (BLIP) 858
– device performance 865
– operation 862
– performance 868
Subject Index
beam effective pressure (BEP) 510
beam effective pressure ratio 1335
Beer–Lambert law 190
Bell Laboratories 4, 5
bend deformation 926, 927
bend elastic constant 927
beryllia, thick film technology 720
bias sputtering 675
biaxial elastic modulus 1108
BiCMOS 7
BICUVOX 225
BIMEVOX 219
bimolecular reaction 1100
binary alloy, solid solution 26
binary endpoint 741
binary parameters 736
biocompatible surface 1232
bioluminescence 984
bio-sensors, nanotube based 1153
bipolar transistor 6, 430, 481
bipolar transport 1030
birefringence 932, 944
birefringent crystals 1335
bisazo compound 972
bis-polycarbonate (Lexan) 180
BJT (bipolar junction transistor, SiC)
547
black-body
– emission 983
– radiation 892
BLIP 1335
Bloch wave vector 1026
Bloch waves 20
blocking contact 1127
blocking layer 969
blocking temperature 1335
blue LED 287
blue-violet laser 1144
Blu-Ray system 12, 753
Boltzmann constant 58
Boltzmann equation (BE) 1335
Boltzmann transport equation 29,
37, 447, 806, 807
bombarding particles primary 383
bond failure 1272
bond switching 576
boron-doped diamond 557
borosilicate
– crown 76
– glass 1065
Bose–Einstein distribution 58
boule 1335
boundary effects 412
bowing parameters 743
– Group III–V ternaries 743
Bragg equation 348
Bragg grating 998
Bragg’s law 1335
branch-point energy 154
Bravais lattice 1335
Bremsstrahlung 1335
Bridgman 303, 337
– crystals 306
– growth 247, 250, 336, 1335
– method 236
– process 305
Brillouin zone (BZ) 441, 500, 809,
891, 913, 987, 998, 1335
broadband sensitizer 1336
broken bonds 1339
Brooks–Herring (BH) formula 1336
B-swirl 105
buckministerfullerene 1225
bucky materials 1153
buffer chambers 317
buffer layer 754
bulk
– concentration 221
– crystal growth, vapor phase 333
– crystal structure 682
– defects 430, 434
– electron 696
– films, dielectric constants 630
– Group-III nitrides 776
– growth 231, 245, 247, 304
– heterostructure device 907
– hole concentration 419
– material conductivity 696
– modulus 740, 757
– resistivity 410, 411
– silicon 101
– single crystals 231
– SiO2 636
– SiO2 , point defects 638
– valence electrons 154
bulk AlN
– thermal conductivity 763
bulk modulus 1336
bulk semiconductor 10, 1027, 1087
– electron transport 807
– nonlinear 1081
– nonlinear response 1080
– spreading resistance 414
bulk wurtzite GaN
– band structure 810
– material parameters 809
– valley occupancy 812
– velocity-field characteristic 811
bulk ZnO
– oxygen vacancies 851
– visible luminescence 851
bulk-grown crystals 241
Subject Index
backscattered electrons 1334
backscattered electrons (BSE) 348
Baldereschi concept 1334
ballistic
– conductance 1150
– electron 38
– electron emission 810
– SWNT 1150
– transport 1152
ballistic electron emission
microscopy (BEEM) 148, 151,
1335
band
– diagram 634
– formation 1026
– mobility 1110
– overlap 98
– structure 500, 895
– structure, spin-polarised 95
– tail states 176, 177
– theory 1221
band alignment 1335
– type I 1024
– type II 1024
band filling 1335
band gap 442, 1125, 1335
– direct 848
– engineering 481, 1021, 1023,
1024, 1335
– narrowing 59
– voltage 1029
band gap energy 54, 735
– cubic Group III–V ternaries 745
– Group III–V binaries 743, 745
– Group III–V quaternaries 745
– Group III–V ternaries 743
band gap quasi-particle 154
band gap structures
– graded 124
band offset 1335
band-edge modulated films 578
band-pass filter 696
band-stop filter 696
bandtailing 59
band-to-band absorption 57, 65
band-to-band tunnelling 871, 912
bandwidth 868
barium strontium titanate (BST)
649, 1012
Barkhausen noise 87
barrier energy 201
barrier height 148, 149, 1335
basal plane dislocation 543
batteries, high energy density 225
BCS theory 1198
beam deflection 1115
1369
1370
Subject Index
bulk-limited conduction 703, 705
Burgers vector 357
buried junction 877, 1099
BX lines 851
C
Subject Index
C60 1148
C60 molecule 1225
cadmium chalcogenide 685
cadmium compound film 700
– morphological characteristics
691
cadmium mercury telluride (CdHgTe)
304
calamitic 1336
calcination 610
cap layer growth 308
capacitance
– flat-band 425
– method 422, 425
– silicon surface 425
– transducer 1254
capacitance equivalent thickness
(CET) 1336
capacitance–voltage (C–V ) 1336
– technique 1336
capacitance–voltage measurements
421
capacitance–voltage–frequency
(C–V – f ) characteristic 1110
capacitor dielectric 602, 629, 647
– amorphous tantalum pentoxide
649
– barium strontium titanate 649
– DRAM 648
– ferroelectric materials 650
– non-volatile memory applications
650
– scaling 648
– thick film 723
capping layers 1144
carbon acceptors 505
carbon atom
– electronic states 1220
carbon nanotube 1147, 1225
– catalysts 1149
– dimensionality 1148
– electronic structure 1149
– field-emission displays 1152
– interconnect 1152
– semiconductor 1149
– symetries 1149
carbon-based
– material 1220
– molecule 1147
carbon-doped material 773
Carlson, Chester F. 954
Carnot efficiency 1195
carrier
– capture 1031
– concentration 701, 767, 1336
– concentration, direct determination
420
– confinement 894, 898
– density 214, 1110
– distribution function 447
– distributions 143
– drift mobility 572
– drift mobility calculation
183
– effective mass 744
– lifetime 311, 870
– relaxation 1031
– scattering 750, 767
– temperatures 37
– transport 465, 1031
– tunneling 416
carrier mobility 632, 744, 1336
– diffusion picture 181
– effective 1112
– modulation 1113
– nanotube 1148
– time-dependent 181
carrier range 1336
carrier velocity
– microscopic 1336
carrier–carrier scattering 449
carrier–lattice scattering 448
carrier-to-noise ratio (CNR)
1145
cascaded second-order materials
1336
cast recrystallise anneal (CRA) 245,
305
catastrophic breakdown 640
cathodoluminescence 1336
cathodoluminescence (CL) 343,
362, 367, 788, 984
cation 1336
cation self-diffusion 132
cavitand compound 1259
cavitand compund 1241
Cd3 As2
– morphological characteristics
690
Cd-based compound semiconductor
1336
CdHgTe growth 279
Cd-rich film 667
CdS film 689, 708
CdSe film 702, 703
CdTe (cadmium telluride)
– band gap 1102
– detector 1128
– epilayer growth orientation 316
– polycrystalline thin-films 1102
– solar cell 1102
– thin-film photovoltaic 1103
CdTe-based materials 304
CdZnTe 1124
CdZnTe CZT 1128
centro-symmetric 1336
ceramic 1000
– actuator, piezoelectric 617
– capacitor 603
– fabrication 610
– laser ablation 615
– materials, thick film technology
720
– q-DC behaviour 209
cermet (ceramic/metallics) 718
cermet thick film 722
– resistors 728
cermets 1336
chalcogenide glasses 139, 565, 569,
1336
– band gap 573
– dark conductivities 573, 575
– drift mobilities 573
– optical properties 572
– PL spectra 572
chalcogenides 401
– Te-based 1139
chalcopyrite phase CuInSe2 (CIS)
1104
channel mobility 550
characteristic X-ray 1336
characterization techniques 11, 410
charge carrier
– drift mobility 182
– effective masses 1207
– mobility 164, 166, 1222
– relaxation kinetic 182
charge carriers 1336
charge coupled devices (CCDs)
410, 991
charge generation 963, 1336
– amorphous material 964
– impact ionization 471
charge pumping 1337
charge pumping (CP) 428
charge transfer complex 1150
charge transfer interaction 1224
charge transport 161, 180, 958,
1337
– disordered materials 161
– localized states 171
Subject Index
– index 1225
– nanotube
diameter 1149
– nematics 921, 1337
– smectic C phase 922
chirality 921
chloride growth 508
chromatic aberration 353, 1337
CIP (current-in-plane) 1163
circuit
– design, power consumption 7
– element interconnection 626
– response delay time 627
– semiconductor 418
cleave and stain 1337
close-space sublimation (CSS)
1103
CMOS 7
– gate stack 632
– soft error 1278
– technology 627, 1278
CMT 1337
– advanced structure 318
– alloy composition 318
– array 315
– carrier lifetime 311
– composition 310
– dislocation density 315
– epitaxial growth technique 304
– epitaxial layer 311
– hybrid array 320
– impurity segregation 307
– infrared detector 319
– layer 318
– material characteristic 311
– MBE technology 317, 319
– MOVPE 312
– phase diagram 304
– pseudobinary alloy composition
314
– Si 320
– sliding boat growth 311
– structure 318
– substrate material 315
CMT growth
– Bridgman 305
– Hg-rich melt 309
– monitoring 317
– solid state recrystallization (SSR)
305
– Te-rich 309
– traveling heater method) 306
coating
– anti-reflection 692, 694, 696
coatings
– conformal 728
Coats–Redfern–Sestak plot 402
cobalt–iron film 1163
Co–Cr–Pt alloy 1178
co-dopant 845
coefficient of thermal expansion
(CTE) 761, 1272, 1337
coercive field 88, 599, 1158, 1178,
1337
coercive squareness parameter (S∗ )
1337
coercivity 1163, 1169
coherence length 1200, 1207, 1337
– in-plane 1207
cohesive energy 1337
Cole–Cole function 193
collinear probe 413
color glass 1070
columnar grain structure 689
columnar phase 1337
– rectangular 924
columnar recombination 1126
compact disc (CD) 11
compact disc player 529
complementary characterization
364
complementary metal oxide
semiconductor 1337
complex 1337
complex heat capacity 404
complex heterostructures
– carrier concentration 522
– composition measurements 522
complex perovskite 604
compliance constants 739
composite materials
– ionic conductivity 220
compositional uniformity 1337
compound annual reduction rate
(CARR) 626
compound semiconductor 500, 680,
1338
– crystal properties 326
– growth techniques 243
– MOCVD technique 331
– quality of epilayers 331
compressive strain 1026, 1338
compton scattering 1338
computed radiography (CR) 993
computing power 626
concentration doping of cuprate
1202
concentration quenching 1338
conducting polymer 1241, 1255
– conductivity 1255
conduction 42
– electrons 395, 396
Subject Index
charge transport layer (CTL) 956,
969, 974, 977
– glass-transition temperature 975
– organic photoreceptor 974
charge-blocking layer 977, 1336
charge-carrier 1202
– lifetimes 1126
– trapping 1136
charge-coupled device (CCD) 839,
858, 878
charge-generation layer (CGL) 956,
969, 970, 972, 977, 1337
– bisazo compound 972
– material 970
– perylene 973
– photoreceptor 970
– phthalocyanine 973
– trisazo compound 972
charge-generation material (CGM)
1337
charge-transport layer (CTL)
1337
charge-transport material (CTM)
1337
charge-transport phenomena 470
chemical
– electronegativity 154
– microanalysis 350
– self-diffusion 123, 132
– sensing 1228, 1242
– shift 375
chemical annealing 586, 1337
chemical bath deposition (CBD)
1103
chemical beam epitaxy (CBE) 295,
359
chemical sensor 1219
– polymer 1255
– thick film 730
chemical solution deposition (CSD)
613
chemical transformation 400
chemical vapor deposition (CVD)
1337
chemical vapour deposition (CVD)
257, 330, 546, 581, 660, 678
chemical vapour transport (CVT)
246, 326
chemically assisted ion beam etching
(CAIBE) 363
chemiluminescence 984
chemiresistor 1228
chip-fabrication facility (FAB)
1230
chiral
– dopant 922
1371
1372
Subject Index
Subject Index
– mean free path 21
– metallic-type 1109
– quantized 42
– tail states 59
conduction band (CB) 57, 890,
1221, 1338
– amorphous semiconductors 566
– deformation 747
– density of states 566
– effective mass 445
– potential energy 168
conduction mechanism
– bulk-limited 700
– electrode-limited 700
conductive layer 1338
conductive polymer 1223
conductivity 19, 1338
– anisotropic 1284
– electrical 575, 696
– film thickness 697
– ionic 217
– ionic-electronic 213
– layer-by-layer 1150
– oxygen ion 220
– temperature dependence 176
conductivity mass 750, 1338
– Group III–V binaries 746
conductivity mixture rule 34
conductivity relaxation time 20
conductor 1267, 1282
– ionic 214
– thick film 613
configuration coordinate model
1338
configuration entropy fluctuations
205
confined level 1030
confinement
– barriers (CB) 1031
– energy 1027
confining potential 1030
conformal coatings 728
conjugated polymer 180, 961
– crystalline packing 1224
constant-photocurrent method (CPM)
141, 567
contact resistance 415
– direct measurement 417
– model 417
– semiconductor 415
container-free LPE (CFLPE) 275
continuous-charging Czochralski
(CCZ) 267
continuum theory 927, 928
– chiral nematic 928
convective flux 108
conventional DSC 400
– total heat flow 404
convergent beam electron diffraction
(CBED) 355
converse piezoelectric effect 1338
conversion detector
– poly-TlBr 1130
conversion efficiency 1098, 1338
cooldown detector 859
Cooper pair 1197
– coherence length 1200
– collective wave function 1198
– density 1200
Cooper pair density 1213
Cooper pairs 1338
COP 1338
copper thick films 722
core-level photoemission
spectroscopy (PES) 152
corona charging 969
corona discharge 1338
corona-discharge poling 1069
correlation factor ( f ) 1338
cost per transistor 626
Cotterell atmosphere 1338
Coulomb
– blockade 1151
– gap 173
– interaction 63, 1027
– island 1151
– potential 766
coupled granular continuous (CGC)
recording media 1338
coupled granular/continuous (CGC)
medium 1181
coverage ratio 1245
CPP (current-perpendicular-to-plane)
1163
critical (transition) temperature
1338
critical current 1198
– density 1209
critical field 1338
– metallic superconductor 1197
critical fluctuations 1338
critical temperature 1194
– epitaxial strain 1213
critical thickness 1338
critically resolved shear stress
(CRSS) 504
cross Kelvin resistor (CKR) 417
cross-luminescence 995
crosstalk 875
– long range 879
cryogenic encapsulation 857
cryopanel 1338
crystal
– density 737
– key parameters 399
– neckingless method 268
– originated particle (COP) 105
– phonon concentration 387
– properties 326
– structure 682
crystal clear project 994
crystal field splitting 985
crystal growth 1022
– conditions 107
– history 232
– hydrothermal method 336
– striations 264
– transport agent 333
crystalline enthalpy 399
crystalline material 756
– diffraction peaks 350
– non-centrosymmetric 598
– properties 597
crystalline polymer
– thermal conductivity 394
crystalline quality 542
crystalline semiconductor 63, 573
– Hall effect 574
– inorganic 1083
– optical absorption 63
– thermoelectric power 574
crystalline silicon 570, 1095
– band gap 1099
– intrinsic mobility 458
– phonon modes 447
– room-temperature mobility
458
– structural models 566
crystallization
– kinetics 403
– temperature 385
crystallographic
– polarity 358
– structure 349
crystals
– annual production rates 232
– Debye heat capacity 386
– ionic 51
c-Si 568
cubic anisotropy 89
cubic III–V binary 747, 748
– compliance constant 739
– elastic stiffness 739
cubic III–V ternary 745
cubic structures 13
CuO2
– buckling in 1204
– planes 1202
Subject Index
D
dangling bond 588, 638, 1339
– a-Si:H 589
– defect 581, 589
– light-induced creation 576
dark conductivity 137, 178, 576
dark current 875, 1124
dark decay 965, 1339
– characteristic 965
– photoreceptor 965
– rate 957
data rate 1162, 1184, 1339
Davydov splitting 1247
DC conductivity
– temperature dependence 166
DC hopping 706
DC sputtering 614
D-defects 105
Debye frequency 386, 1198
Debye heat capacity 386
Debye length 1243, 1339
Debye molar heat capacity
387
Debye response 193, 207
Debye temperature 24, 387, 388,
763, 764
– III–V binaries 741
– phonon concentration 393
Debye theory 385, 387
deep localized states 178
deep traps 1125
deep-energy-level impurities
1339
deep-level transient spectroscopy
(DLTS) 426, 520, 567, 1110,
1339
– averaging techniques 435
– electrical characterization 434
deep-trapping time 1132
deep-well drilling 538
defect
– analysis 103
– chemistry 1339
– defect interactions 220
– density 106
– GaAs 514
– reaction 215
– sources 367
– types 106
defective solids 220
defect-related transitions in GaN
– optical properties 785
deformation potential 746, 1339
deformation potential parameters
1339
deformational phonon 448
degradation 847
delocalised states 164
delta-doping 845, 849
demagnetizing energy 90, 1170,
1172
dense wavelength-division
multiplexing (DWDM) 905
density of state (DOS) 25, 42, 59,
138, 163, 395, 442, 746, 1109,
1110, 1339
– 3-D 893
– conduction band tail 1110
– joint 1026
– mass 1339
– normal state 1198
– single-electron 1198
– spin-polarized 98
– vibrational 386
density of tail states 1111
density-functional theory 159
density-of-states 746
deoxyribonucleic acid (DNA)
– electron transfer 1236
– electronic behaviour 1235
depletion 1339
depletion layer 150, 421, 1211
– doping profile 422
depletion region 701
deposition
– parameter 687
– rate 667
deposition method 659
– chemical deposition 677
– physical deposition 661
– thin film 661
– vacuum evaporation 662
depth of field 354, 1339
depth of focus 354, 1339
depth profiling 1339
depth resolution 1340
detection efficiency 1340
detection phase sensitive 991
detective quantum efficiency (DQE)
1123, 1131, 1133, 1340
detectivity 865, 1340
– background-limited 868
detector 1022
– Hg − Te 866
– 10 µ m 866
– a-Se 1132
– background-limited performance
868
– cooldown time 868
– detectivity 863
– device parameters 861
Subject Index
cuprate semiconductor
– crystal structures 1204
– CuO2 planes 1202
– lattice parameters 1204
cuprate superconductor
1193, 1216
– carrier concentration 1211
– common features 1202
– critical current density 1210
– critical temperature 1205
– electrical resistivity 1202
– energy gap 1204
– film 1213
– grain boundaries 1211
– hole concentration 1205
– in-plane strain 1214
– length scale 1207
– upper critical fields 1207
Curie temperature 84, 197, 599
current in the plane (CIP) 1338
current noise measurement
– high-impedance devices 434
current perpendicular to the plane
(CPP) 1339
current–voltage characteristic
1199
current–voltage measurements
426
cut-off wavelength
– HgCdTe 862
C–V measurement, low-frequency
425
CZ crystals 1339
Czochralski (CZ) crystal
– growth conditions 263
– seed-end portion 263
Czochralski (CZ) growth 233, 250
Czochralski (CZ) method 5, 9, 102,
249, 259, 451, 504
Czochralski (CZ) pulling procedure
262
Czochralski (CZ) silicon 264
– carbon 265
– crystal 263
– crystal growth sequence 262
– crystal impurities 264
– dislocation-free 268
– doping 265
– impurities 266
– oxygen 265
– properties 261
– striations 265
– swirl 265
Czochralski and liquid encapsulated
Czochralski (LEC) growth
1339
1373
1374
Subject Index
Subject Index
– diffusion-limited 315
– exposure level 1131
– indium antimonide (InSb)
856
– infrared 855
– multiple quantum well (MQW)
857
– photoconductive 857, 858, 1036
– photovoltaic 868
– polycrystalline PbS 856
– poly-CZT 1132
– poly-HgI2 1132
– quantum efficiency 1133
– semimetals 866
– technology 855
– two-color 880
– white noise current 868
– X-ray sensitivity 1131
– zero bias resistance 868
developer 955
device
– architecture 1230
– characteristics 625
– degradation 125
– density 1230
– dimensions 626
– functionality 102
– high-speed 9, 10
– industry 101
– optical 1068, 1076
– parameters 861
– performance 581
– responsivity 861
– structure 125, 755, 876, 1235
– technology 121
device photovoltaic 731, 868, 1095
device-grade µc-Si:H 581
device-grade a-Si:H 581
devitrification 1340
DFB lasers
– refractive index 905
– threshold currents 905
DFT (density-functional theory)
1340
diamagnets 91
diamond 537, 551
– device fabrication 553
– doping 551
– emission properties 556
– etchant 545
– grown by CVD 540
– heteroepitaxial 545
– heteroepitaxy 546
– high-temperature electronic devices
551
– homoepitaxial 545
– homoepitaxial growth 555
– impurity 544
– lattice 500
– oxidation 545
– p-channel FET 552
– properties 544
– resistor 557
– single crystals 545
diamond film 139, 545, 547
– boron-doped 557
– dielectric 557
– field emission 556
– homoepitaxial growth 555
– nanocrystalline 557
– polycrystalline 554
diamond films phosphorus-doped
540
diamond structure 1340
diamond-like
– carbon (DLC) 556
– lattice structure 482
– silicon 545
dichlorosilane (SiH2 Cl2 ) 257
Dieke diagram 985
dielectric 610, 625, 1267
– contrast 1000
– DC conductivity 208
– dispersion 193
– film 688
– loss 199
– materials properties 629
– quantum mechanical tunneling
629
– relaxation 202
– reliability 640
– response function 194
– scaling 628
– susceptibility 189
– thick film 613
dielectric constant 48, 401, 447,
545, 627
– conductivity contribution 449
– cubic III–V binaries 748
– effective 32
– optical 154, 748
– substrate 720
– wurtzite III–V binaries 748
dielectric constant static 457
dielectric constant/susceptibility
(DC/DS) 1340
dielectric increment 199, 203
– dynamic scaling 203
– temperature dependence 199
dielectric material 626, 669, 1340
– energy barrier 634
– microelectronic devices 625
– polarizability 629
dielectric pastes
– thick film 723
dielectric permittivity relative 629
dielectric permittivity
648, 925, 933
dielectric relaxation 145
dielectric response
– basic features 190
– basic macroscopic definitions
188
– elements 209
– low frequencies 208
– physical concepts 187
dielectric spacer layer 647
dielectric susceptibility 1077
dielectric thickness 648
dielectric–semiconductor interface
640
diethyl telluride (DETe) 313
differential heat flow 400
differential permeability 91
differential scanning calorimeter
(DSC) 385, 400
differential scattering cross section
21
diffraction 1340
– contrast analysis 357
– limit 1139
– loss 1001
diffusant
– calculation 127
– concentration 127
– model 127
– profiling 127
diffusion
– anneal 126
– coefficient 126, 470
– dopant 130
– hot-carrier 470
– isoconcentration 123
– length 864
– mechanisms 122
– parameter 512
– recombination enhanced 125
– short circuit path 125
– source 126
– tracer concentration flux 123
– transient enhanced 124
diffusion coefficient or diffusivity
1340
diffusion length 1340
diffusion-induced disorder 124
diffusion-limited detectors 315
difused base transistor 6
digital circuits 9
Subject Index
discotic nematic 923
disk drives 1155
– high-capacity 1155
– rotary actuators 1177
– track density 1177
dislocation 11, 13, 356, 683, 767
– grown-in 872
disorder
– model 962, 975
– potential 166
– static 62
disorder model 1340
disordered conductor 217
disordered material 161, 1113
– charge transport 167
– DC conductivity 166
– electrical conductivity 169
– electron mobility 176
– electron transport 170
– extended states 167
– hopping charge transport 169
– transport phenomena 163
disordered organic material 180
– carrier mobility 180
– charge transport 180
– hopping conductivity 180
disordered semiconductor 1108
– AC conductivity 164
– density of band carrier 1112
– energy spectrum 163
– hopping conduction 169
– localized states 169
– materials 162
– transport properties 164
disordered solid 962
– electrical conduction 169
disordered sublattice 219
disordered systems
– doped crystals 162
– transport phenomena 162
– transport theory 165
disperse red 1085
dispersion 48
– ionic crystals 51
– relation 51, 52
– semiconductors 51
– semiempirical single oscillator
52
display devices 925
Dissado–Hill function 194
distributed Bragg reflector (DBR)
905, 1033
distributed feedback (DFB) 889
distributed feedback (DFB) laser
– active layer 904
– guiding layer 904
distribution function 1341
ditertiarybutylselenide (DTBSe)
289
DMOSFET 550
DNA
– chip 1236
– computer 1236
– electronics 1219
domain walls
– silicon carbide 543
donor 1341
donor diffusion
– n-type GaAs 513
donor–acceptor
– charge-transfer 972
– pair (DAP) 785
– photoreceptor 972
donor-bound exciton (DBE) 778,
782
dopant 272, 1341
– concentration 124, 126
– density 216
– diffusion 124, 125, 132
– Group III, V 128
doped polymer 1222
doped semiconductor 1341
doping 5
– by diffusion 847
– concentration 422, 815
– profiling 424
– properties 12
DOS effective mass 442
double heterojunction (DH) 530
double heterostructure (DH) 11, 12
double Schottky barriers 1211
double-channel planar buried
heterostructure (DCPBH) 899
double-layer charging 1152
double-layer heterojunction (DLHJ)
278, 308, 876
double-layer planar heterostructure
(DLPH) 877
drain depletion region 430
drain resistances 427
DRAM 1341
DRAM planar capacitor 649
drift length 861
drift measurement 451, 462
drift mobility 20, 1125, 1341
– concentration dependence 184
– temperature dependence 180
drift velocity 8
– electric field relationship 467
drift-diffusion relation 446
Drude
– approximation 477
Subject Index
digital packaging 1270, 1272
– thermal performance 1283
digital printer 955
digital printing 1340
digital versatile disc (DVD) 11
digital versatile disk (DVD) 888,
1140
dihydrogen phosphate (KDP) 606
diisopropyltellurium (DIPTe) 289,
313
dilute magnetic semiconductor
(DMS) 248
dilute nitride 906
dimensional scaling
– capacitor dielectric 648
dimethyl cadmium (DMCd) 289,
314
dimethylzinc (DMZn) 289
diode 410, 725
– quantum-well (QW) 1036
diode laser 1032
diode sputtering 673
dip coating 968
dipolar fluctuations 191
dipole density fluctuation 196, 210
– relaxation rate 199
dipole disorder model 962
dipole fluctuations 193, 197
dipole glass 197, 203
dipole moment 188
– effective 198
dipole oscillator model 55
dipole relaxation 207
dip-pen nanolithography (DPN)
1233
dipping 1340
– boat 273
– cycle 681
– growth 310
– techniques 308
direct alloy growth (DAG) 314
direct band gap 11, 1023
– material 913
– semiconductor 288
direct gap semiconductors 570
direct photo CVD 581
direct piezoelectric effect 1340
director 919, 924, 933, 1340
director distribution 919
director orientation
– optical properties 934
director reorientation
– threshold voltage 933
disc-like molecules 923
discotic 1340
discotic liquid crystal 924
1375
1376
Subject Index
Subject Index
– formula 450, 1341
– model 55
drum photoreceptor 1341
– insulating material 969
dry etching 1004
drying 610
DSC cell
– heat-flux 400
dual layer organic photoconductor
1341
dual-beam photoconductivity (DBP)
141
dual-color
– device 880
– technologies 881
dual-in-line package 725, 1268
dual-layer disks 1144
Dulong–Petit rule 387
DVD 565
– phase-change 1140
– RAM 1140
– recording 1140
– RW 1140
d-wave symmetry 1203
DX centers 845
dynamic coercivity 1341
dynamic random-access memory
(DRAM) 616, 647, 1229
dynamic resistance 879
dynamic SIMS (DSIMS) 377, 378
E
E layer 1174, 1341
E’ center 1341
easy axis 1341
ECA metal particles 1282
ECB cell
– transmission curve 933
edge filter 696
edge-defined film-fed growth (EFG)
249
edge-defined film-growth technique
1341
edge-emitting laser 1021
– elliptical beam profile 905
effective dielectric constant 32
effective dipole moment 198
effective hole mass 746
effective magnetic field 1187
effective mass 1341
effective media approximation
(EMA) 32
effective mobility 1112, 1113
effective resistivity 23
effective scattering 21
efficiency 1098
effusion cell 293, 509
Einstein relation 1341
EL(2) 1341
elastic
– constants 926
– energy density 926
– modulus 401
– stiffness 739
elastic compliance constant (C)
1342
elastic deformation 1342
elastic properties 739
– semiconductor alloys 741
elastic stiffness constant (S)
1342
elasticity 1342
elastoresistance 1113, 1114
– coefficient 1114
electric torque 931
electrical conductivity 222, 575,
696
– amorphous semiconductors
573
– metallic film 696
– nanotube 1148
– silicon 452
– size-dependent 698
– Temperature-dependence 574
electrical energy 1095
electrical measurement techniques
410
electrical properties 565, 696
– aluminium nitride 773
– bulk SiO2 638
– chalcogenide glasses 574
– electrical conductivity 574
– gallium nitride 767
– Groupx III nitrides 766
– Hall coefficient of a-Si:H 574
– indium nitride 774
– thin film 660
– thin films 696
electrical pumping 890
electrical resistivity 27
electrically active impurities
– point defects 434
– SI GaAs 515
electrically conductive adhesives
(ECA) 1281, 1342
electrically controlled birefringence
932
electroabsorption 69, 1342
electroabsorption modulator (EAM)
1037
electroactive compound 1224
electrochemical etching 1005
electrochemical potential
1342
electrochemical recognition
1231
electrochromic elements 226
electrochromic windows 223, 226
electrode-limited conduction 706
electrodeposition 677, 831
electroding 612
electrodynamics 1197
electroluminescence 788, 984
electrolytes
– solid oxide 215
electrolytic domain 222
– boundaries 222
electromagnetic (EM) 55
electromechanical coupling 618
electron 1342
electron affinity 1342
electron beam induced conductivity
(EBIC) 343, 362
electron beam lithography 1342
electron beam–material interaction
346
electron cyclotron PECVD 680
electron cyclotron resonance (ECR)
680
electron device
– high-frequency 805
electron diffraction 351, 353, 356,
359
electron drift velocity 466, 813
– AlN 820
– doping dependence 816
– GaAs 820
– GaN 820
– InN 820
electron effective mass
– density-of-states 746
– III–V quaternaries 746
electron energy analyser 375
electron energy loss spectrometry
(EELS) 343, 346, 367
electron generation 1133
electron injection 700
electron mobility 166, 766, 767,
774, 1125
electron motion 20
electron nuclear double resonance
(ENDOR) 850
electron paramagnetic resonance
(EPR) 513, 639, 850
electron plane wave 1186
electron saturated drift velocity
470
Subject Index
electron–nuclear double resonance
(ENDOR) 567
electron–phonon
– coupling 572, 573
– interaction 448, 450, 1198
– inter-valley scattering 463
– scattering 1342
electron-transport material
– charge mobility 975
electro-optic coefficient 604
electro-optic device 608, 931
electro-optic effect 1012, 1078,
1342
electrophotographic cycle
– printing 967
– process parameter 958
electrophotography 953, 1342
electroplated alloys 1161
electroplated Ni45 Fe50 1160
electroplating 677, 1159, 1342
electrospinning 1259
electrostatic flux density 188
elemental maps 375
Eley–Rideal reaction 1342
ellipsometry 72, 1342
Elovich model 1251
emergency lighting 993
emission device 1342
emissive transition 1028
emitter 1032
– interband 1032
encapsulation 1342
– electronic package 1280
– material 1280
– mold compound 1281
– processing 1281
– temperature 1281
– wire sweep 1281
end-member perovskite 602
endothermic glass-transformation
enthalpy 400
endpoint materials 750
energy band diagram 416
energy conversion 224
energy dispersive X-ray analysis
(EDX) 343
energy dispersive X-ray diffraction
(EDX) 758
energy gap 1335, 1342
– (superconducting) 1198, 1203
energy per carrier 1097
energy relaxation
– charge carriers 180
energy transfer coefficient 669
energy-band spectrum 1342
energy-filtered TEM 12
energy-loss relaxation 178
enthalpy 386, 398, 401
– crystalline 399
– exothermic 400
– fusion 398, 399
– relaxation 399
epi wafer 103
epilayer
– ellipsometry 313
– growth 895
– laser reflectometry 313
– lattice constant 894
– material 894
– monitoring 313
epitaxial CdTe layer
– morphology 690
epitaxial film 754
– critical current densities 1212
epitaxial GaAs
– point defects 516
epitaxial growth 684
– techniques 231
epitaxial layer (or Epilayer)
1342
epitaxial layer overgrowth (ELOG)
13, 273, 277, 754
epitaxial strain effects 1214
epitaxies 271
epitaxy 1342
equation of state (EOS) 758
equilibrium band bending 40
equilibrium population 198
equipartition of energy 387
equivalent oxide thickness 1343
erbium-doped fiber amplifier (EDFA)
907
Er-doped AlN 787
error rate 1170
ESCALAB chamber 317
etch pit density (EPD) 338, 1343
etchant
– diamond 545
– film 545
etching
– electrochemical 1005
evaporation
– coefficient 663
– of compounds 666
– point source 664
– process 665
– reactive 667
– source 664
– surface source 664
evaporator temperature 685
Ewald sphere 1343
Ewald sphere construction 354
Subject Index
electron scattering 872
electron schubweg 1131
electron spectroscopy for chemical
analysis (ESCA) 343
electron spectrum 443
electron spin resonance (ESR) 567,
593, 639
electron system 1222
electron transport 805–807, 824
– AlN 817
– InN 819
– material parameter 808
electron wavefunction 1067
electron-beam evaporation 666
electron-beam lithography (EBL)
1001, 1003
electron-beam poling 1069
electron–cyclotron resonance (ECR)
754
electroneutrality equation 221
electron–hole overlap 1028
electron–hole pair (EHP) 913,
1027, 1123, 1131
– reduced mass 1027
electronic
– diamond 551
electronic conductivity
– mixed ionic 224
electronic device
– diamond-based 545
– high-temperature applications
547
– polymeric organic compound
1225
– silicon carbide 547
electronic material 805, 1267
– C60 based 1153
– Debye heat capacity 388
– heat capacity 388
– silicon dioxide 635
– thermal conductivity 391
– thermal properties 385
electronic noise 1134
electronic nose system
– sensor 1261
electronic optical nose 1255
electronic packaging 1267
– classes of material 1269
– encapsulation 1280
– interconnect 1274
– levels 1268
– material 1267, 1269, 1272
– solder 1274
electronic transport devices 735
electronics 1219
electron–lattice 987
1377
1378
Subject Index
Subject Index
EXAFS (extended X-ray absorption
fine structure) 568
excess noise 872
exchange energy 93
exchange field (Hua ) 1343
exchange interaction 91
exchange magnetic field 1174
excimer laser annealing 845
exciton 47, 1027, 1343
– dipole moment 1070
– energies GaN 781
– ground state 1027
– recombination 963
– two-level system 1070
excitonic absorption 65
excitonic transitions 778
exciton–phonon interaction
333
exhaust sensor 223
exhaustion concentration 1343
exothermic enthalpy 400
experimental methodology 370
exponential DOS 1113
extended states 163, 1109, 1110
extended X-ray absorption fine
structure (EXAFS) 1141
extinction coefficient 48
extraordinary refractive index
– effective 933
extrinsic doping 870
extrinsic ferroelectric
– Curie temperature 599
F
fabrication 976
– nanoscale patterning method
1006
– photoreceptor 976
– technology 499
Fabry–Perot 904
– cavity 896
– interference filter 696
face-centered cubic (fcc) sublattices
755
Faraday effect 69
fast ion conductors (FIC) 216
– structural characteristics 217
fast switching displays 922
fatigue
– thermomechanical 1275
Fermi
– functions 891
– level 148, 847, 892, 1343
– surface 42
– velocity 696
Fermi energy 25, 170, 445, 1109,
1198, 1343
– density of states 1167, 1186
Fermi’s golden rule 1026
Fermi–Dirac
– distribution 152, 447, 891, 1028,
1111
ferrimagnet 90
ferroelastic 607, 1343
ferroelectric 197, 1343
– application 599
– ceramic 198, 615
– characteristic properties
599
– Curie point 604
– device electroding 612
– dielectric response 203
– domain 599, 1343
– GeTe 1142
– hysteresis 599, 1343
– laser ablation 615
– oxide 604, 614
– oxide thin film 615
– properties 598
– sputtering 615
– system 600
– theoretical model 600
– thin film 615
ferroelectric application
– capacitor 616
– dielectric 616
– piezoelectric 617
– pyroelectric material 620
ferroelectric ceramic
– fabrication technology 610
– poling 612
ferroelectric material 650
– aurivillius compound 606
– Czochralski growth 608
– fabrication 608–610
– ferroelectric oxide 601
– flux growth 609
– illmenite 604
– lead germanate (Pb5 Ge3 O11 )
607
– oxide 606
– Perovskite ferroelectric 601
– phosphate 606
– polycrystalline ceramic 610
– polymeric 607
– properties 597
– reliability 651
– single crystal 608
– solution 609
– thick-film 613
– thin film 613
– triglycine sulphate 607
– tungsten bronze 605
ferroelectric random-access memory
(FRAM) 617
ferroelectric relaxor 1343
ferroelectric to store this application
– dynamic random-access memory
616
ferromagnetic
– configuration 1167
– film 1164
– layer 1173
– material 83, 98
– resonance (FMR) 88
– solids 82
ferromagnets 90
fiber optic sensor 1228
fiber optic window 1021
Fick
– diffusion 107
– first law 122
– law 512
– second law 127
Fick’s first law of diffusion 1343
Fick’s second law of diffusion 1343
field effect transistor (FET) 630,
735, 1151, 1226, 1343
field emission 1343
field emission characteristics
– polycrystalline diamond films 557
field emitter triode
– monolithic diamond 555
field factors 33
field-effect mobility 1111
field-emission display 1152
figure of merit (FOM) 628, 1068,
1077, 1078, 1084, 1343
– solar cells 1096
– time-averaged nonlinear 1079
fill factor (FF) 1343
film
– anodic oxide 678
– antiferromagnetic 1164
– as-deposited 689
– a-SiNx :H 1108
– CdS 689, 708
– CdSe 702, 703
– cobalt–iron 1163
– crystal structure 685
– diamond 139, 547
– epitaxial 754
– ferromagnetic 1164
– free 1185
– in-plane strain 1214
– insulating 700, 707
– morphology 691
Subject Index
flux pinning 1344
flux quantum 1199, 1200
flying heads 1155
flying height 1344
focal plane array (FPA) 278, 305
– large-area 304
focused ion beam (FIB) milling 363
focused ion-beam (FIB) lithography
1003
forced convection 1344
forward biasing 433
Fourier transform infrared (FTIR)
311, 518
– spectrometry 306
Fourier’s heat conduction law 391
Fourier-transform infrared (FTIR)
spectrometry 1344
four-point probe 1344
four-point-probe technique 410,
412
Franck–Condon principle 990,
1344
Frank–van der Merwe (FM) growth
332
Franz-Keldysh effect 69, 478
free carrier 47
– absorption (FCA) 55, 56
– density 140, 219
– lifetimes 143
– mobility 138
free convection 1344
free electron model 26
free film 1185, 1344
free-exciton transitions 781
Frenkel
– defect pairs 215
– disorder 218, 221
– excitons 64
– formation energy 216
– pair 122
frequency (LF) 421
frequency response 1223
Fresnel coefficients 692
Fresnel reflectance spectrum
472
friction coefficient 545
Friedel’s law 1344
front end of line (FEOL) 1344
Fréedericksz transition 933
fuel cell
– monolithic 225
– solid oxide 224
fullerenes 1147, 1148
– spherical-shaped 1225
– transition temperature 1195
fundamental properties 692
fusion enthalpy 398, 399
fusion temperature 399
G
GaAS electro-optical devices
– multi-quantum-well (MQW) laser
530
GaAs1−x Px
– fabrication 508
GaCl-pretreated sapphire 768
gain condition 899
gain-guiding 898
gallium arsenide (GaAs) 9–11, 358,
499, 503, 814, 820
– band gap 1101
– band structure 500
– bulk growth 502
– carbon concentration 518
– carbon diffusion 513
– carbon impurities 505
– cathodoluminescence 521
– chloride growth 508
– conducting 520
– Cr-doped 504
– crystal defects 514
– deep electronic levels 515
– deep level defects 519
– defect analysis 517
– device 499
– device-quality 295
– diffusion characteristics 512
– DLTS 520
– doped 513
– doping levels 510
– electrical analysis of defects 518
– electrical resistivity 501
– epitaxial growth 507, 511
– from the melt 506
– homoepitaxy 754
– hydride growth 508
– impurity elements 517
– lattice constants 501
– liquid-phase epitaxy (LPE) 507
– melt-grown 507, 515
– MESFET 526
– MOCVD growth 508
– modulators 531
– MOSFETs 10
– n-type doping 510
– optical analysis of defects 521
– optical properties 514
– phase diagram 502
– photodetectors 532
– Photoluminescence 521
– Shallow Acceptors 513
Subject Index
– oxide 674
– pinned 1164
– Poisson’s ratios 1115
– thickness 661, 686, 689
film growth
– edge-defined 235
film phthalocyanine 703
film-growing surface 583
filter
– band-pass 696
– band-stop 696
– design 696
– edge 696
– semiconductor-doped 1070
finite-well model 1025
firing 1343
first-order transition 925
flash evaporation 668
flash memory element
– nitrided SiO2 649
flat panel display 590
flat-band capacitance 425
flat-band voltage 435
flatband voltage (VFB ) 1343
flexible plastic substrates 1103
flexible substrate 720, 1107, 1108
flexoelectric coefficients 929
– measurement 947
flexoelectric effects 947
flexoelectric polarisation 940
flexoelectricity 928, 939, 1343
flip chip 1270, 1344
– device 1268
– interconnect 1276
– organic package 1278
– solder deposition technique
1276
– substrate 1278
– technology 1278, 1280
– underfill material 1280
float zoning 6
floating gate current 1344
floating gate technique 427
– semiconductor parameter 428
floating zone technique 1344
floating-zone (FZ) method 102,
112, 237, 250, 259, 451
– properties 261
– silicon 260, 261
flow pattern defect (FPD) 105
fluence 1344
fluorescence 984, 991, 1344
– quenching 965
fluoride glasses 1344
fluoroscopy 1124
flux lines (vortices) 1344
1379
1380
Subject Index
Subject Index
– shallow donors 503, 513
– solar cells 1102
– surface quality 521
– transition metals 513
– vertical gradient freeze (VGF)
506
– wafers 505
gallium arsenide (GaAs) crystal
– phase diagram 502
gallium arsenide (GaAs) device
– electrical contacts 524
gallium arsenide (GaAs)
electro-optical devices
– emitters 527
– GaAs photodetectors 532
– heterojunction laser 529
– lasers 529
– modulators 531
– solar cells 532
gallium arsenide (GaAs) melt
– horizontal Bridgman 503
– horizontal gradient freeze technique
503
gallium arsenide (GaAs)/GaAlAs
laser 530
gallium arsenide (GaAs)-based
devices
– precursors 509
gallium nitride (GaN) 12, 13, 287,
350, 359, 537, 805, 814, 820
– alloy 288
– average electron energy 812
– based devices 791
– bound excitons 782
– conduction band 778
– donor-acceptor transitions 785
– electrical properties 767
– epilayer 779
– exciton energies 781
– fundamental properties 755
– lattice constants 757
– lattice parameter 762
– layer 767
– mechanical properties 759
– optical phonon frequencies 760
– optical properties 778, 785
– template 754, 784
– wurtzide 812
galvanomagnetic effect 452
galvanomagnetic measurement
451
gap states 145
Ga-rich GaAs
– electrical properties 502
garnets 92
gas phase diffusion 592
gas precursors 331
gas sensor 1244
– impedance-based paste 730
– inorganic material 1243
– potentiometric 223
– semiconductor 1243
gas source MBE (GSMBE) 295,
511
gate capacitance 631, 1111
gate dielectric 629, 630, 644
gate dielectric material 641
– reliability 635
gate dielectric thickness scaling
628
gate electrode work function 634
gate insulator 158
gate leakage currents 634
gate length 1230
gate oxide 8
gate oxide integrity (GOI) 102,
1344
gate-substrate capacitance 436
Gaussian DOS 181
Gay–Berne mesogen 919
Ge2 Sb2 Te5 GST 1140
geminate recombination 964, 1126,
1344
“GEN III” detector 880
generalized Drude approximation
(GDA) 449
germanium 5
getter sputtering 675
ghosting 1345
giant magnetic resonance (GMR)
1167
– physical origin 1167
giant magnetoresistive (GMR)
1162
– head operation 1188
– read sensor 1156
– sensor 1157, 1175
giant-magnetoresistive (GMR) effect
1345
Gibbs free energy 199, 398
Ginzburg–Landau parameters
1207
Ginzburg–Landau theory 1200
Gladstone–Dale coefficient 52
glass 47, 1063
– aging 404
– band gap energy 1066
– ceramics 1070
– enthalpy 399
– fast ionic conductivity 219
– formation 202
– glass-transformation kinetics 404
– homogeneous 1065
– key parameters 399
– matrix 1071
– metallic 396
– nanoparticle dispersed 1070
– optical properties 1065
– oxide 52, 390
– particle-embedded 1070
– poling methods 1069
– quantum-dot 1087
– refractive index 1065
– structure 401
– substrate 1108
– thermal conductivity 393
– third-order nonlinearity 1065
– transition region 404
glass ceramics 1345
glass frit 1345
glass matrix
– electrical conductivity 161
glass surface
– hydrophilic 1245
glass transition 202, 401, 949
– polymer 389
– temperature 219, 385, 389, 399,
961, 975, 1281
glasses
– ionic transport 219
glass-forming liquid 398
glass-forming material 206
glass-transformation kinetics
404
glass-transition temperature 1345
glassy metals 396
glow curve 990
glow discharge
– mass spectrometry (GDMS) 376,
377, 1358
– mass spectroscopy (GDMS) 838
– optical emission spectroscopy
(GDOES) 373
– spectroscopy 373
– spectroscopy (GDOES) 376
– sputtering 673
glow discharge spectrometry (GDS)
1345
glow-discharge mass spectrometry
(GDMS) 517
gold conductors
– thick film 721
graded refractive index (GRIN)
530
gradient freeze growth 1345
gradient freezing (GF) 337
grading 1345
grain boundaries 30, 1129, 1210
Subject Index
Group III–V ternaries 743, 750
Group III–V ternary
– band-gap energy 743
– optical mode 740
Group III–V ternary and quaternary
alloy
– optical mode 740
Group II–VI binary compound 131
Group II–VI compound 748
– bulk single crystal 326
– wide-bandgap 326
Group II–VI compound epilayers
330
Group II–VI compound
semiconductor 335
– bulk single crystals 326
– high quality film 326
Group II–VI semiconductor 303
– MOCVD 283
Group II–VI ternary compound
866
Group II–VI wide-bandgap
compound
– Bridgman 337
– gradient freezing 337
group index 53
Group-III acceptors 445
Group-III nitride semiconductor
277, 753, 772
– crystal structures 755
– electrical properties 766
– mechanical properties 757
– specific heat 764
– thermal conductivity 762
Group-IV semiconductor 128
Group-V acceptor 850
Group-V donors 445
growing crystal
– axial temperature gradient 106
grown-in defects 106
growth
– layer-by-layer 291
growth characteristics 873
growth method 235, 873
– Bridgman 236
– Czochralski 233
– edge-defined 235
– float zone 237
– high-temperature solution 238
– horizontal Bridgman 503
– hydrothermal 239
– Kyropoulos 235
– large diameter 235
– low-temperature solution 238
– materials 240
– Stepanov 235
– travelling heater method 237
– vapor 240
– Verneuil high melting point
materials 233
– vertical gradient freeze 237
growth model
– µc-Si:H 586
growth process 108
growth rate 249
growth site formation 583
Grüneisen
– law 396
– parameter 397
GST
– amorphous state 1142
– bond lengths 1142
– crystal structure 1141
– ferroelectric 1145
– local structure 1142
– metastable crystalline 1145
Gunn
– diode 525, 1345
– effect 501
H
Hagen–Rubens relationship 56
half-metals 98
Hall
– carrier concentration 420
– coefficient 446
– coefficient n-Si 459
– conductivity 768
– constant 164
– factor 37, 446
– measurements 418
– mobility 36, 446, 751, 766
– mobility III–V binaries 750
– resistivity 43
– scattering factor 420
Hall effect 4, 19, 35, 36, 766, 1345
– ambipolar conduction 36
– carrier concentration 419
– coefficient 36
– materials characterization 418
– measurements 767
– physical principles 419
Hall–Petch relationship 1273
Hamiltonian
– vibrational 987
hand-held display 937
hard axis 1345
hard magnetic bias film 1345
hardness 545, 758
Havriliak–Negami function 193
head field 1177
Subject Index
grain size 1127
– distributions 1170
grain-boundary
– conductivity 699
– defect 1127
– scattering 698
granular media 1182
granular polysilicon 258
graphite 1224
graphitised SWNT 1149
Gratzel cell 1345
GRINSCH laser 530, 1345
GRINSCH QW laser 531
ground-state exciton 1027
Group III-nitride
– LEDs 13
Group III–nitrite system 538
Group III–V 276
Group III–V alloy
– deformation potential 747
Group III–V alloy systems
– thermal conductivity 741
Group III–V antimonides 276
Group III–V binaries 745, 746, 750
– crystal density 737
– elastic properties 739
– lattice parameter 737
Group III–V compound 748
– acceptors 503
– diffusion 130
– donors 503
– growth 234
Group III–V growth
– binary 276
– ternary 276
Group III–V material 9, 1021
– MOCVD 286
Group III–V nitride semiconductor
805, 811
– material parameter 808
– recent development 824
– transient electron transport 810
Group III–V nitride semiconductor
material 822
Group III–V quaternaries 738, 745,
746, 750
– lattice-matching condition 737
Group III–V semiconducting
compound alloy
– electronic transport device 735
– laser diode 735
– light-emitting diode 735
– optoelectronic device 735
– photodetector 735
Group III–V semiconductor 3, 499,
913
1381
1382
Subject Index
Subject Index
head field slope parameter (Q) 1345
heat capacity 1345
– composition dependence 390
– glasses 390
– low-temperature experiments 387
– normalized 390
heat exchanger method 250
heat flow 392
heat-affected zone (HAZ) 1273
heavy-hole
– band 895, 909
– effective mass 443
– spectrum 468
Heisenberg exchange 86
helical anisotropy 89
hermetic packaging 728
herringbone structure 1248
Hervé-Vandamme relationship 51
hetero epitaxy 272, 281, 329, 356
heteroepitaxial diamond 545
heteroepitaxial layers 784
heteroepitaxy 1346
heterofullerides 1153
heterogenous nucleation 402
heterojunction bipolar transistor
(HBT) 296, 482, 513, 526, 735,
1346
heterojunction contact 862
heterojunction laser 1346
heterojunction structures 754
heterojunctions 1346
heteropassivation 871
heterostructure 9
– detector 311
– lattice-matched 156, 157
– metamorphic 157
– non polar 157
– pseudomorphic 157
– waveguide 1001
heterostructures 1346
hexagonal
– close-packed (hcp) 755
– columnar phase 923
– crystals 758
– structure 13
– wurtzite structure 756
hexagonal As 1346
HgCdTe 857, 878
– 2-D arrays 879
– array technology 870
– Auger recombination 870
– BLIP-limited performance 867
– defects 872
– diffusion current 870
– diode 879
– dual-band array 880
– epitaxial growth 873
– growth process 860
– high-performance infrared systems
882
– infrared detectors 860
– loophole arrays 872
– photoconductive 857
– photoconductor array 860
– photodiode (I–V) characteristics
869
– photovoltaic array 867, 882
– photovoltaic detector 882
– slab 860
– solution 310
– spatial resolution 879
HgI2 1124, 1127
HgMnTe 1346
high carrier mobility 545
high electron mobility transistor
(HEMT) 10, 297, 735
high frequency (HF) 421
high frequency device 549
high performance FET fabrication
553
high power SIT 549
high refractive index glasses 76
high temperature electronics 539,
544
high vacuum (HV) 661
“high-κ” dielectrics 643
high-angle annular dark field
(HAADF) 365
high-brightness diodes 287
high-density recording 1180
high-electron-mobility transistor
(HEMT) 526, 1346
high-energy sputtering 671
higher operating temperature (HOT)
881
higher silane-related species (HSRS)
592
highest occupied molecular orbital
(HOMO) 1226
high-field conduction 700, 708
high-frequency (HF) capacitance
method 421
high-frequency electron device
805
high-index contrast 1346
highly doped Si
– room-temperature resistivity
454
high-mobility InGaN 793
high-order Laue zone (HOLZ) 355
high-performance FET
– DC output characteristic 553
high-pressure depletion (HPD)
592
high-purity n-Si 470
high-quality AlN 787
high-resistivity crystal 461
high-resolution
– displays 937
– mask 1002
– X-ray diffraction (HRXRD) 127,
756, 846
high-speed device 9, 10
high-temperature CVD (HTCVD)
– silicon carbide 542
high-temperature device 555
– pn-diodes 558
– Schottky diode 558
high-temperature electronic
– SiC-based JFETs 550
high-temperature solution growth
238, 251, 1346
high-temperature superconductor
1193
– irreversibility line 1208
– physical property 1195
– upper critical field 1208
hole
– array 1004
– drift velocity 466
– effective mass 746
– generation 1133
– lifetime 1126
– mobility 1125
– spectrum 443
– trapping sites 143
hole transport
– trap-free 962
holographic patterning 1011
homeotropic alignment 1346
HOMO – highest occupied molecular
orbital 1346
homoepitaxial diamond 545
homoepitaxial GaN 366
– microstructural defects 366
homoepitaxial GaN 783
– layers 784
homoepitaxy 272, 281, 1346
homogenous nucleation 402
homojunction 898, 1346
– device 870
hopper coating 968
hopping
– band 1113
– conduction 705
– conductivity 171, 178
– distance, time-dependence 175
– energy relaxation 177, 181
Subject Index
I
IBM copier 972
IBM copier 1 955
IC technology 626
IDCA 1347
ideal contact 150
ideal detector 1133
– performance 1131
ideality factor 149, 151, 1347
IGBT (insulated gate bipolar
transistor) 551
illmenite
– LiNbO3 604
– LiTaO3 604
illumination
– nonresonant 1084
image contrast analysis 356
image formation
– photoreceptor 956
image lag 1121, 1347
imaginary susceptibility component
193
imaging detector 1121
impact ionisation 914
impact ionization 38, 471, 862, 871,
1347
IMPATT diode 471
improper (or extrinsic) ferroelectric
1347
impurity 1347
– absorption 66
– ionization energy 445
– metallic 103
– scattering 10, 448
– solubility 264
– species 674
in situ monitoring 313, 1347
in situ oxidation 1188
incandescent light bulbs 753
index changes
– negative nonlinear 1083
– nonlinear 1085
index of refraction
– second-order 1064
index-guided structures 898
index-guiding 897
in-diffusion 131
indirect-gap material 890, 987
indium nitride (InN) 805
– electrical properties 774
– optical properties 789
indium tin oxide (ITO) 1012, 1226
induced anisotropy 1157
induced dipole moment density
191
inductance
– linear 85
inelastic scattering 1347
infinite well
– wave function 1027
infinite-well 1025
information technology 1244
infrared (IR) 303, 914
infrared camera 858
– HgCdTe 868
infrared detector 608, 860
– cooler 858
– minimal cooling 882
infrared laser absorption
spectroscopy (IRLAS) 582, 1347
infrared reflection absorption
spectroscopy (IRRAS) 584, 1347
InGaAsP 11
InGaN 8, 12
InGaN alloy 13
InGaN band gap 792
injection circuits 870
injection efficiency 869
ink-jet printing 1233
InN 820
– electron transport 819
– fundamental properties 755
– lattice constants 757
– material parameters 809
– mechanical properties 759
– optical phonon frequencies
760
– Raman-active modes 760
inorganic crystalline semiconductors
– nonlinear properties 1080
inorganic glasses 219
in-plane coherence length 1207
in-plane transistor 553
in-plane wave 1027
insulating film 700, 707
insulating oxides 95
insulation layer
– thick film 723
insulator 63, 1267
– branch-point energy 159
– dielectric band gap 154
– dielectric constant 154
– electrical characterization 410
– resistivity 411
– work function 700
insulator–metal transition 445
insulator–semiconductor interface
159
integrated circuit (IC) 6, 7, 725,
1347
– gate dielectrics 629
– manufacturing 626
– nanometer scale 80
– performance 626
– scaling 626
– wire bonding 726
integrated circuit electronics 5
Subject Index
– length 177
– rate 170, 175
– transition 169, 178
– transport 174, 180, 960
hopping conduction 1346
horizontal Bridgman (HB) 503
– GaAs 503
– growth 503
horizontal directional solidification
crystallization (HDC) 250
horizontal gradient freeze (GF)
504
– GaAs 503
– growth 503
hot carrier phenomena 1346
hot-carrier diffusion 470
hot-carrier phenomena 466
hot-wall epitaxy (HWE) 325, 328
– II–VI compound 330
hot-wire chemical vapor deposition
(CVD) 1346
humidity sensor
– thick-film 730
Huygens’s principle 348, 1346
HWE growth chamber 331
hybrid circuits 718, 1346
– substrates 720
hybrid thin film 1255
hybridization 1346
hydride growth method 508
hydride vapor-phase epitaxy (HVPE)
754, 764
hydrogen dilution ratio 583
hydrogen plasma 587
hydrogen sensor
– titanium dioxide nanotube 1244
hydrogenated 581
– amorphous carbon transport
properties 162
– amorphous germanium (a-Ge:H)
61
– amorphous silicon (a-Si:H) 61
hydrophobic substrate 681
hydrothermal growth 250, 1346
hydrothermal techniques 336
hysteresis 83, 1347
– loss 84
– time-dependent 85
1383
1384
Subject Index
Subject Index
integrated electronic absorption
1065
integrated optics/photonics 1347
integration capacitance 878
intentional doping 773
interaction cross section 670
interatomic potential energy 397
interband emitter 1032
interband optical transitions 52
interband transition region 749
interconnect 1347
– capacitance 628
– dielectric materials 652
– dielectric tetraethoxysilane (TEOS)
651
– dielectrics 629, 651
– electrical 1273
– environment 1273
– flip chip 1276
– Pb-free 1277
– wire material 627
interconnects
– conductivity 1282
– polymer 1282
– second-level 1282
interdiffused multilayer process
(IMP) 314, 1347
interdiffusion 131, 133
– CMT 314
– compositional 124
interface
– band-bending 150
– capacitance 209
– dangling bond 639
– electrical characterization 410
– induced gap states (IFIGS) 147,
153
– resistivity 416
– trap capacitance 421
– trap charges 424
– trap density 1347
interface-induced gap states 1347
intergrain jc 1347
intermediate diffuser 129
intermetallic compound (IC) 1194,
1274, 1275, 1347
intermetallic reactions and phases
1348
intermolecular forces 947
internal energy 387
internal photoemission yield
spectroscopy (IPEYS) 148, 152
International Technology Roadmap
for Semiconductors (ITRS) 626
interpolation
– linear 747
interpolation scheme 736
interrupted field time-of-flight
(IFTOF) 145
interstitial 1348
– diffusion mechanism 122
– nitrogen 847
– oxygen 116
– recombination 124
– site 215
inter-sub-band (ISB)
– emission 1030
– transition 1029
– transition rate 1030
intersubband (ISB) transition
1348
inter-valley electron transfer 776
inter-valley scattering 448
intragrain jc 1348
intrinsic
– magnetic properties 87
– mobility 427, 452
– optical transitions 777
– pinning 1212
intrinsic point defect 107, 1348
– aggregate void 104
– aggregation 114, 115
– Boron doping 114
– Carbon doping 115
– thermophysical properties 103
intrinsic resistivity 699
intrinsic silicon 128, 138
inversion 1348
inversion layer 421
inversion symmetry 1348
inverted opal 1010
inverted staggered TFT 1112
iodine doping 874
ion beam milling 874
ion bombardment 345, 593
ion conductor
– disordered oxygen 219
ion implantation 874, 1003, 1348
– GaAs 513
– parameters 544
ion species primary 379
ion yield
– positive secondary 380
– relative 381
ion-beam-assisted deposition (IBAD)
760
ionic conduction 161
ionic conductivity 217
– interface 220
ionic conductor 214
ionic conductors
– application 214
ionic crystals 51
ionic disorder 216
ion-implanted SiC 548
ionization 1348
ionization energy 1348
ionized-impurity scattering 465
ion–lattice interactions 987
IPEYS technique (internal
photoemission yield spectroscopy)
1348
IR detectors 869
irreversibility line 1209, 1348
irvin curve 1348
isoconcentration diffusion 123
isolation dielectrics 629
– LOCOS isolation oxide 647
isothermal capacitance transient
spectroscopy (ICTS) 567
isotope effect 1198
isotope labeling 588
isotropic liquid 919
itinerant magnet 93
I –V technique (current–voltage
technique) 1347
J
JFETs (junction field-effect
transistor)
– SiC 547
Johnson–Mehl–Avrami equation
402
Josephson junction 1210
– critical current 1200
Josephson–Fraunhofer interference
1200
Joule–Thompson cooler 857, 859
Judd–Ofelt (JO) analysis 68
jump process
– diffusion coefficient 214
junction barrier Schottky (JBS)
548
junction characteristic 1098
junction geometry 876
K
K3 Li2 Nb5 O1 2 (KLN) 249
Kelvin contact resistance (KCR)
410
Kerr effect 1011
Kevlar 918
Kikuchi lines 355, 1348
kinematic viscosity 949
kinetic theory of gases 663
Kirkwood factor 198
Subject Index
Knoop hardness 739
Knudsen cell 509, 1348
Knudsen effusion 293
Kodak Ektaprint 100 955
Kramers–Kronig relation (KKR)
49, 195, 447, 1064, 1067, 1080
Kramers–Kronig relations (KKR)
1348
Kretschmann’s configuration
1252
Kronig–Penney model 1026
KTiOPO4 (KTPO) 249
Kyropoulos growth method 235,
250, 339
Kyropoulos technique 1348
L
linear inductance 85
linear interpolation 747
linear interpolation scheme 736
linear muffin-tin orbital (LMTO)
758
linear relaxation response 192
linear susceptibility 195
liquid
– key parameters 399
liquid crystal 917, 1012, 1349
– alignment 925
– bulk nematic 926
– calamitic 919
– chemical structure 942
– chiral 921
– chiral nematic 940
– cholesteric phase 921
– dielectric anisotropy 945
– dipole moment 945
– director 925
– discotic 923
– elastic constants 946
– electrical conductivity 937, 943
– helical structure 921, 945
– long range order 924
– lyotropic 918
– material 941
– molecular properties 943
– molecular shape 919
– molecular structure 919
– negative dielectric anisotropy
938
– nematic 919
– optical properties 932, 943
– optical retardation 932
– order 919
– permittivity 945
– positive dielectric anisotropy 925,
932
– rod-like molecules 924
– smectic 919
– thermotropic 918
– torsional elasticity 947
– viscosities 947
– viscosity 929
– viscosity coefficients 947
liquid crystal shutter 940
liquid encapsulated Czochralski
(LEC) 247, 504
– pulling rate 234
liquid polymer 1233
liquid silicon 259
– impurity solubility 264
liquid-crystal
– columnar 923
– nematic 923
Subject Index
Landauer formula 1150
Landé factor 82
langasite family (La3 Ga3 SiO12 ) 249
Langmuir–Blodgett (LB) 660, 1230
– film deposition 680
– method 1246
– technique 677
Langmuir–Blodgett film deposition
1348
Laporte’s rule 986, 1348
laptop computer 937
large area detector 1124
large area electronic 1107
large-band-gap material 766
laser 11
– ablation 615
– diode 1032
– edge-emitting 888
– four-level 1034
– quantum cascade (QC) 1033
– spectral purity 904
– vertical-cavity surface-emitting
1032
laser cavity
– refractive index 897
laser characteristics 900
laser crystallization 1348
laser detector 308
laser diode (LD) 325, 735, 750,
753, 888
laser flash method 764
laser light scattering (LLS) 102
laser light scattering tomography
defect (LSTD) 105
laser trimming
– thick film 726
laser-induced fluorescence (LIF)
582, 1349
lateral conductivity 696
lateral epitaxial overgrowth (LEO)
754, 763
lattice
– constant 757, 1026
– Group III–V binaries 737
– melting 217
– mismatch 315, 482, 1212
– mobility 461
– parameter 737, 756
– reciprocal 354
– vibrations 22
lattice-matched AlInN/AlGaN 793
lattice-matched system 95
lattice-matching 1022, 1349
Lattice-matching condition 738
lattice-matching condition 737, 738
law of mass action 1349
law of mass action (LMA) 123
layer
– composition 968
layer-by-layer growth 291
LDA (local density approximation)
97
lead phthalocyanine film 705
lead zirconate titanate (PZT) 729
lead-free piezoelectric 603
lead-silicate glass 1065
leakage current 549, 630, 869, 872,
902
length between bits 1170
lenses
– growth 235
Li batteries 223
LIDAR (light detection and ranging)
882
lifetime 1349
ligands 68
light absorption 47
light amplification 1068
light emitting diode (LED) 3, 10,
11, 325, 538, 735, 750, 753, 888,
893, 1021, 1032
– material properties 528
– ultraviolet 1032
– white 992
light-hole
– band 909
– effective mass 443
light-induced defect creation 1349
light-induced phenomena 565
– amorphous semiconductors 575
lighting strip 992
lightly doped drain (LDD) 415
lineage 1349
linear absorption 1067
1385
1386
Subject Index
Subject Index
– smectic 920
– twist grain-boundary phase 923
liquid-crystal device 931
liquid-crystal display (LCD) 590,
917
– addressing 933
– angle of view 933, 938
– bistable device 939
– deformed helix mode 947
– ECB-mode 932
– ferroelectric smectic display 939
– global market 1226
– in-plane switching (IPS) mode
937
– in-plane switching mode (IPS)
938
– materials 940
– multicomponent mixtures 942
– multiplexing 934
– optical properties 934
– passive matrix addressing 934
– relaxation time 934
– super-twisted nematic (STN) 935,
936
– thin-film-transistor twisted-nematic
937
– time response 934
– transmittance 934
– twisted nematic (TN) 935
– twisted vertically aligned (TVAN)
938
– vertically aligned nematic (VAN)
938
– viscous properties 934
– zenithal bistable device (ZBD)
939
liquid-crystal material
– fluorinated mesogens 948
liquid-crystal mixtures 948
– two phase coexistence 942
liquid-crystal phases
– disc-like molecules 923
liquid-encapsulated Czochralski
technique 1349
liquid-phase epitaxy (LPE) 9, 11,
271, 303, 328, 507, 873, 889, 1022,
1349
– second-generation CMT 308
lithium ion batteries 225
lithographic method 1002
lithography 7
– parallel 1233
local chemical state
– surface 375
local density approximation (LDA)
757, 758
local oxidation of silicon (LOCOS)
647
localisation 13
localised vibrational modes (LVM)
515, 517
localized state 163, 174, 1109
– potential barrier 180
localized vibrational mode (LVM)
absorption 1349
LOCOS isolation oxide 647
logic circuitry
– molecular-scale 1234
logic device dimensions 630
logic gates 1151
log-pile structure 1007
London equations 1197
London penetration depth 1197
London theory 1200
long linear arrays 868
long waveband (LW) 856
longitudinal bias film 1345
longitudinal gauge factor 1115
longitudinal magnetic recording
1349
longitudinal optical (LO) 759, 767,
1031
– modes 741
– phonons 55
longitudinal recording
– write head 1176
longitudinal resistivity 43
longitudinal TFT 1117
longitudinal transition 1170
long-range disorder 1349
long-range orientational order 925
long-wavelength detectors 876
long-wavelength LO phonon 748
loophole device 875
loose-spin model 94
LO-phonon coupling 784
Lorentz oscillators 51
loss coefficient 55
low media noise 1170
low remanence state 1187
low-κ application 652
low-dimensional structure 1349
low-dimensional transport
phenomena 1150
low-energy ion scattering (LEIS)
346
low-energy sputtering 671
lowest unoccupied molecular orbital
(LUMO) 1226
low-field electron drift mobility
– GaN 814
low-frequency dispersion 209
low-frequency noise 1349
– characteristics 430
– measurement 433
– spectroscopy 430
low-impedance devices 433
low-loss dielectric material 998
low-mobility materials 144
low-pressure chemical vapour
deposition (LPCVD) 481
low-temperature co-fired ceramic
(LTCC) 1270, 1349
low-temperature luminescence 521
low-temperature MBE (LTMBE)
– GaAs 510
low-temperature solution growth
1349
low-temperature solution growth
technique 238
low-temperature-grown GaN 775
LPE 1349
– background impurities 272
– characteristics 272
– GaAs 276
– growth modes 273
– history 272
– n-on-p structure 280
– optoelectronic devices 276
– p-on-n structure 280
– second-generation CMT 308
LPE growth 329
LPE growth method
– dipping system 274
– graphite sliding-boat 274
– tipping furnace 273
LPE growth techniques
– comparison 310
LPE material
– point defects 273
L-pit 104, 105, 1349
LSDA (local spin density
approximation) 97
LTCC 1279
LTMBE GaAs
– point defects 517
– ultrafast optodetectors 510
lucky drift (LD) model 39
lucky electron 38
luminescence 983, 1349
– band-to-band 987
– centres 985
– deep-level 987
– electronic configuration 985
– excitation/emission cycle 988
– optically stimulated 990
– rare-earth 985
luminescent ions 987
Subject Index
luminescent material 983, 992, 995
LUMO – lowest unoccupied
molecular orbital 1350
Luttinger liquid (LL) state 1151
LW 1350
LW matrix arrays 868
M
– thermal conductivity 391
– thick film 721
material characterization 139
– sample preparation 362
– X-ray diffraction 348
material flow 109
material parameter 808, 809
– quadratic dependence 736
– ternary 736
material photosensitive 140
material porous 1009
material properties
– Group III–V nitride semiconductors
822
material quality 537
material systems
– viscosity 401
materials growth
– diamond 242
– Gallium Arsenide (GaAs) 242
– Group III–V 242
– Group II–VI 245
– Group IV 240
– Group-III nitrides 244
– Halides 250
– Indium and Gallium Antimonides
244
– Indium and Gallium Phosphides
244
– oxides 249
– oxides/halides/phosphates/borates
249
– phosphates and borates 251
– Silicon 240
– Silicon Carbide 241
materials LPE grown
– arsenic-based materials 276
– atomically flat surfaces 280
– doping 277
– Group III–V 276
– Group II–VI 278
– group IV 275
– Group-III nitrides 277
– Group-III–V antimonides 276
– low-bandgap material 277
– mercury cadmium telluride 278
– mixed alloy layers 277
– narrowgap Group II–IV compounds
280
– phosphorus-based materials 276
– silicon 275
– silicon carbide 275
– silicon/germanium 275
– widegap compounds 278
materials MBE grown
– GaAs/AlGaAs 296
Subject Index
Mach–Zehnder
– interferometer 911
– modulator 1076
macrocylic compound 1241, 1245
macrocylic molecule 1246
macrodefects 315
macromolecule
– cylindrical graphitic 1148
magnetic anisotropy 88
magnetic annealing 1157, 1350
magnetic coupling 1165
magnetic field
– effective 1187
magnetic field applied continuous CZ
(MCCZ) 267
magnetic field applied CZ (MCZ)
266
magnetic film 1155, 1157
– engineering 94
– permanent 1166
– switching time 1162
magnetic free enthalpy 1187
magnetic grains 1169
magnetic layers
– amorphous metallic 99
magnetic material 1155
– antiferromagnetism 90
– ferrimagnetism 90
– ferromagnetism 90
– multi-domain structure 86
– thick film 613
magnetic permeability 48
magnetic properties 79
– thin film 660
magnetic random-access memory
(MRAM) 1156, 1185, 1350
magnetic read head 92
magnetic recording 1156
– head 1158
– media 92
– microstructure 1169
– read head 1188
– surface 1169
– transition 1182
magnetic sector instrument 377
magnetic semiconductors 883
magnetic spacing 1350
magnetic splitting 99
magnetic substance
– fundamental property 81
magnetic surface charges 81
magnetic thin film
– coupling strength 93
magnetic transition 1350
magnetic tunnel junction (MTJ)
1156, 1185
magnetic tunneling junction (MTJ)
1350
magnetic yoke 1159
magnetism
– classical 81
– localized 81
– transition metal alloys 97
magnetization 1187
– curve 1178
– equation of motion 1187
magnetoelastic energy 1157
magnetoelectronics 99
magneto-optical effects 744
magnetoresistance 1350
magnetoresistance (MR) 446
magnetoresistance ratio 1186
magnetoresistive sensors 730
magnetoresistivity (MR) 1350
magnetostriction 1157
– constant 1160
– nickel–iron alloys 1157
magnetron sputtering 676
magnification 351
majority carriers 1350
Marconi company 940
mask processing 1003
masking layer 1144
mass flow controllers (MFC) 295
master curve technique 204
material 3
– carbon-based 1220
– charge transport 161
– composition 167
– disordered 161
– GaN 14
– Group III-nitride 12
– homogeneous nonlinear 1077
– magnetic 1155
– material 1078
– nonlinear 1084
– organic 1084
– organic thin film 708
– photovoltaic 1098
– resonant response 1079
– second-order 1088
– semiconducting 3
– sensor-active 1241
1387
1388
Subject Index
Subject Index
– GaAs-based electronic devices
299
– Group-III nitrides 298
– Group-III–V nitrides 298
– InGaAs 297
– precursor 299
– quality 298
– quantum dot 298
– sapphire substrates 298
– Stranski–Krastanov mode 298
materials MOCVD grown
– Al1−x Gax As 286
– antimonides 286
– arsenides 286
– GaN 287
– Group II oxides 289
– Group II–VI semiconductors
288
– HgCdTe 288
– nitrides 287
– phosphides 286
– selenides 289
– sulfides 289
– ZnSe 289
materials science 4
Matthiessen’s rule 23, 696, 750, 766
Mauguin condition 935, 936
maximum efficiency 1098
Maxwell–Boltzmann distribution
29, 663
MBE 332
– AlAs 292
– commercial systems 295
– GaAs 292
– gas sources 295
– Group III–V compounds 292
– growth chamber 293
– growth rate 291
– growth systems 293
– history 290
– reaction mechanisms 291
– Si1−x Gex film 488
MBE growth
– defects 294
– substrate-preparation 294
MBE technology
– CMT 317
MBE-grown CMT
– alternatives 320
– applications 320
– device-quality 319
– surface morphology 318
MCZ silicon 266
mean free path 392, 696
– bulk electron 696
mean grain size 1170
mean phonon velocity 392
mean-field approach 197
mean-square relative displacement
(MSRD) 1141
mechanical properties
– nitrides 757
– thin film 660
mechanical sensors
– piezoresistive 728
– thick film 728
media flux 1169, 1350
media noise 1350
medium waveband (MW) 856
medium-energy electron diffraction
(MEED) 360
medium-wavelength infrared
(MWIR) 312
melt-grown GaAs 507
membrane
– conductivity 1261
– sensing 1245
– solid state ionic 214
– spin coating 1245
memory architecture 1185
memory chips 102
memory device 1235
– tunneling oxides 629
memory storage technology
– compact disk 651
– controlled phase change 651
memory technology 647
mercury cadmium telluride (MCT)
278
mercury-sensitized photo-CVD
1350
MESFET (metal–semiconductor
field-effect transistor) 549
– transconductance 553
mesogen 921, 1350
– chemical constitution 944
metal
– bulk nonlinearity 1071
– thermal expansion coefficient
398
metal alloys
– sheet resistivity 721
metal gate electrode 634, 644
metal oxide semiconductor (CMOS)
1229
metal oxide semiconductor field
effect transistor (MOSFET) 7
metal semiconductor field-effect
transistor (MESFET) 1350
metal-free phthalocyanine 1254
metal-induced gap states (MIGS)
155
metal–insulator transition 1193,
1203
metal–insulator–metal (MIM)
647
metal–insulator–semiconductor
(MIS) 704, 858
– heavily doped polycrystalline Si
633
– structure 633
metallic film 696, 700
– electrical conductivity 696
metallic glasses 396
metallic impurity 103
metallic nanocomposites 1088,
1350
metallic superconductor 1193, 1201
– isotope effect 1198
metallization 544
metalloporphyrin
– glass 1249
– Langmuir–Blodgett film 1249
– polymer 1249
metallurgical-grade silicon (MG-Si)
257
metalorganic chemical vapor
deposition (MOCVD) 9, 10, 12,
271, 280, 325, 328, 614, 680, 754,
1022
– epitaxy 1082
metalorganic deposition (MOD)
614
metalorganic molecular beam epitaxy
(MOMBE) 295, 325, 328, 332,
511, 754
metalorganic vapor phase epitaxy
(MOVPE) 9, 280, 303, 328, 508,
874, 1102
– CMT 312
– heterodiode technology 881
– reactor cells 284
metal–oxide–semiconductor (MOS)
158, 696
metals 25
– electrical conductivity 396
– electrical properties 25
– resistivity 25, 411
– sheet resistivity 721
metal–semiconductor barrier 151
metal–semiconductor contact 147,
148
– depletion layer 150
– J–V characteristic 416
– patches 150, 151
– photoelectric response 152
metal–semiconductor field effect
transistor (MESFET) 9, 513, 525
Subject Index
miniband 1026, 1351
– break 1029
– injector region 1034
minority carrier 912, 1351
– drift length 861
– lifetime 318
– silicon-based electronic device
454
MIPS (millions of instructions per
second) 1236
MIRIADS (miniature infrared
imaging applications development
system) 882
mirror 692
MIS 1351
MIS diode 640
misalignment angle 1210
misfit 11
misfit dislocation 356, 511, 1026,
1351
– transition metal impurity decoration
369
mixed conducting 224
mixed conductor 213
– electrical properties 218
mixed crystal
– charge carrier 168
– compositional fluctuation 168
mixed state 1351
mixture rule 33
MMICs (monolithic microwave
integrated circuits) 514
Mn-doped AlN 786
mobile carrier
– concentration 216
– sublattice 217
mobile ion
– electrical properties 218
mobile monitoring 1243
mobility 19, 750, 870, 1351
– degradation 632
– degradation coefficients 427
– edge 163, 175, 179, 566, 1111,
1351
– effective 1112, 1113
– field-effect 1111
– gap 163, 173, 1109, 1110, 1351
– of electrons 177
mobility–lifetime product 1128
MOCVD 286, 1351
– basic reaction kinetics 281
– characteristics 281
– precursors 283
– technique 331
mode
– AlAs-like 741
– GaAs-like 741
mode hops 905
model-dielectric-function (MDF)
750
modulated photoconductivity (MPC)
142
modulation doped field-effect
transistors (MODFETs) 795
modulation doping 10
modulation transfer function (MTF)
866, 1121, 1123, 1131, 1351
– aperture 1134
– detector material 1134
modulation-doped FET (MODFET)
297
modulation-doped GaAs/AlGaAs
297
modulation-doped heterointerfaces
754
modulator 1036
– electroabsorption 907, 1037
– electro-optic effect 911
– InGaAsP-based 910
– linewidth enhancement 911
– optical 888
– polariation insensitive 909
– refractive index 911
– waveguide configuration 909
– wave-guide structure 910
– Y-junction 911
modulator device 1029
molecular
– chirality 921
– density 737
– dipole relaxation time 200
– dispersion 960
– dynamics (MD) 568
– manufacturing 1219
– memory 1219
– switch 1219
– wires 924
molecular beam epitaxy (MBE) 9,
10, 12, 152, 271, 290, 317, 325,
328, 481, 661, 683, 754, 889, 1022,
1082
– GaAs 509
molecular electronics 1219, 1351
– architecture 1233
– electroactive compound 1224
– manipulating material 1233
molecular recognition 1231
molecular reorientation 1351
molecular-beam epitaxy (MBE)
1351
molecularly doped polymer (MDP)
162, 180, 958
Subject Index
metal–semiconductor interface
– electrical properties 544
metamorphic interface 157
metastability 1350
Metglass 91
MgB2
– critical temperatures 1214
micro screen 718
microcrystalline (µc) 1108
microcrystalline silicon
– hydrogenated 581
microcrystals 1086
microdiffraction 358
micro-electromechanical system
(MEMS) 226, 537, 546, 557
– diamond 551
– thin film 613
microelectronic applications
– thermally grown SiO2 636
microelectronic circuits 717
– Si-based 625
microelectronic devices
– dielectric materials 625
– scaling 640
microelectronic materials 636
microelectronics 80, 95, 99
microhardness 739
microindentation methods 758
micromachined Si 1007
micromachining 1244
– technique 1004
microphotonics 1350
micropipes 543
microprocessor chip 1229
microprocessor performance
628
microprocessor unit (MPU)
1229
microprocessors
– scaling 626
micro-pulling down technique
249
microscopy
– optics 351
microstrip design 1279
microstructure glass 1257
– sensor 1257
micro-via 1278
microwave circuit 1271
microwave device 90, 276
microwave transistors 525
mid-gap-states 1068
migration energy 214, 219
Miller’s rule 1066, 1351
milling 611
miniaturization 214
1389
1390
Subject Index
Subject Index
molecularly doped polymers (MDP)
1351
molecular-scale technology 1230
momentum-flipping collisions 392
monolayer (ML) 291, 1351
monolithic solder 1278
monomolecular recombination 143
monopolar conduction 36
monosilane (SiH4 ) 257
– production 258
– pyrolysis 258
Monte Carlo simulations 805
– electron transport 813
Moore’s Law 1351
Moore’s law 7, 8, 626, 1229
morphological characteristics 659,
690
MOS 1351
MOS transistor 1185
Moseley’s law 1351
MOSFET
(metal–oxide–semiconductor
field-effect transistor) 410
– injection efficiency 869
– SiC 547
Mott’s law 172
MOVPE 1351
MPCVD technique 1352
MQW lasers 297
MRAM technology 1155
MTF model 1136
multi-component material
– sputtering rate 376
multicore wire 1196
multicrystalline silicon
– loss of efficiency 1099
– module efficiency 1099
multi-crystalline silicon (mc-Si)
1352
multidomain structure
– magnetic material 86
multijunction cell 1098, 1100
multilayer ceramic (MLC) 611
multilayer device 968
multilayer film 578
– quantum size effects 571
multilayer heterojunction (MLHJ)
319
multilayer media 1181
multilayer structure 1235
multioscillator model 748
multiple quantum well (MQW) 69,
124, 332, 528, 857, 1024, 1352
– laser 530
– nonlinear response 1081
– semiconductor MQW 1082
multiple reflection approximation
473
multiplets 985
multiplexer 858
– design 879
multistrain well 910
multiwall nanotubes (MWNT)
1148
– ballistic conductance 1150
– electrical transport 1150
– inter-layer coupling 1150
MW 1352
MW detectors 868
N
N doping 847
nanocomposites
– metallic 1088
nanocrystal 1087
– inorganic semiconductor 1087
– nonlinear susceptibility 1088
nanocrystalline (nc) 1108
nanoelectronic circuit 1233
nanoelectronic device 708, 1151
nanoengineering 997
nanofibrous membrane 1259
nanoflash device 1235
nanoindentation methods 758
nanolithography (DPN)
– dip-pen 1233
nanomaterials 1244
nanoparticle 1235
nanoparticle system 1070
nanoplotter 1233
nanoporous array
– high-aspect 1005
nanostructure 661, 692, 997
– oxide 1152
– semiconductor 1150
nanotechnology 565, 1147
nanotube
– armchair 1225
– carrier mobility 1148
– electrical conductivity 1148
– electronic structure 1150
– electrooptics 1152
– logic gates 1151
– semiconducting 1151
– solar cell 1152
– thermal conductivity 1148
– zigzag 1225
narrow bandgap 1352
narrow gap III–V compounds 882
NASICON 224
native defect 125, 767, 1352
native interstitials 130
nearest-neighbor hopping (NNH)
170
near-field technique 1144
negative differential resistance (NDR)
524, 776, 1352
negative electron affinity (NEA)
556
negative temperature coefficient
(NTC) resistance 729
negative-bias temperature instability
(NBTI) 640
nematic
– birefringence 943
– dielectric anisotropy 943
– phase 919, 1352
– viscosity coefficients 929
nephelauxetic effect 68
Nernst equation 223
net electric dipole moment 190
NETD 1352
neutral-impurity scattering 453
neutrality condition 444
neutron diffraction 216
neutron transmutation doping (NTD)
260
n-FET drive current 628
Nichia Chemical Industries 12
nitride
– alloys 791
– epitaxy 754
– material system 753
– semiconductor 805
– semiconductors 754
nitride-based
– compound 1023
– devices 754
– semiconductor lattice parameters
756
– technology 366
nitrides
– mechanical properties 757
– optical properties 777
– steady-state electron transport
775
– thermal properties 761
nitriding 678
n-MOS 7
n-MOSFET 10
NO2 sensor 1250
noise equivalent temperature
difference (NETD) 878
noise sources
– generation–recombination 862
– Johnson–Nyquist 862
– modulation 862
Subject Index
n-Si
– extinction coefficient 474
– refractive index 474
n-type carrier concentration 792
n-type channel MOS 539
n-type conductivity 1353
n-type diamond
– electron densities 552
– nitrogen-doped 552
– pn-junction 552
n-type GaAs 504, 513
– ohmic contact 524
n-type GaN 767
n-type HgCdTe 864
n-type semiconductor 767
n-type silicon
– electron mobility 456
– neutron transmutation doping
260
– phosphine (PH3 ) 260
n-type ZnO 849
nuclear magnetic resonance (NMR)
568
nucleation
– activation energy 402
– density 546
– field 1180
– temperature 111
Nucleation model 587
nucleation temperature 1353
numerical aperture 1353
Nyquist frequency 1128, 1135
Néel temperature 1203
Néel-Arrhenius formula 86
O
octahedral ferroelectric 605
off-chip interconnect 1278
off-track read profile 1177
Ohm mobility 456
OLED blended single layer
– quantum efficiency 1227
OLED display
– global market 1226
Onsager model 1353
Onsager relations 931
opal PBG structure 1008
opaline lattice 1009
optical absorption 141, 576, 789
– coefficient 1353
– edge 576
– sub-bandgap 141
optical amplification
– gain 906
optical axis 920
optical bistability 1076, 1353
optical communications 914
optical constant
– Drude approximation 476
– model 476
optical constants 1353
optical device
– figures-of-merit 1068
– nonlinear 1076
optical dielectric constant 748
optical disk 1032
optical effect
– thin films 692
optical emission spectroscopy (OES)
582, 1353
– glow discharge 376
optical feedback 897
optical fiber 12
– attenuation 1068
– carrying capacity 1075
– material 1068
– sensor 1257
– transmission rate 1075
optical gap 60, 570, 571, 577, 1114,
1353
optical glass 50, 74
optical integrated circuit 1068, 1069
optical limiter 1353
optical lithography 1152
optical material 74, 75
– silicon 472
optical media 1143
optical memory devices 565
optical mode 740, 748
optical network 1075
optical nonlinearity 1067
optical phonon scattering 28
optical phonon scattering rates
– GaAs 814
– GaN 814
optical poling 1069
optical properties 47, 565, 661, 692,
1353
– aluminium nitride 786
– a-Si:H 571
– bound excitons in GaN 782
– chalcogenide glasses 572
– defect-related transitions in GaN
785
– gallium nitride 778
– GaN 785
– indium nitride 789
– nitrides 777
– SiGe 488
– thin films 692
optical pumping 890, 984
Subject Index
– Shockley–Read 863
– surface trapping 862
noise transfer efficiency 1133
noise voltage 1170
nonabsorbing films 696
noncrystalline material 705
nondegenerate material 707
nonepitaxial film 683
nonlinear directional coupler
1352
nonlinear Fabry–Perot–interferometer
1352
nonlinear figure of merit 1352
nonlinear
Kramers–Kronig–transformations
1352
nonlinear Mach–Zehnder modulator
1352
nonlinear material 1084
– optical 1089
– quantum-confined inorganic
semiconductor 1087
– refractive index 1076
nonlinear material figures of merit
1352
nonlinear optical medium 1352
nonlinear optical switching
1352
nonlinear periodic structure
1352
nonlinear phase shift 1352
nonlinear polarization 1068, 1353
nonlinear refraction 1079
nonmagnetic metals 23
non-oxide compounds 674
nonradiative
– decay 1028
– process 984
– recombination 567
nonreversing heat flow (NHF)
404
nonstoichiometric oxides 1202
non-stoichiometry 1353
nonthermal energy exploration
telescope 839
nonvolatile information
– thin film 613
nonvolatile memory devices
– dielectrics 649
Nordheim coefficients 27
Nordheim’s rule 26
normal–insulator–superconductor
(N-I-S) 1199
– current–voltage characteristic
1199
n+ -p diodes 871
1391
1392
Subject Index
Subject Index
optical sensor 1251, 1256
optical signal processing
– broadband 1076
– wavelength-selective nonlinear
1076
optical storage 12
optical switching 1078
– phase shift 1079
optical system integration 1002
optical texture 920, 1353
optical thickness 694
optical transition
– emission 1028
– interband 1026
– matrix 60
– transition rate 1026
optical transparency 545
optically detected magnetic
resonance 1353
optically stimulated luminescence
(OSL) 990
optical-phonon scattering 771
optoelectronic applications 766
optoelectronic device 411, 735
– detector 911
– semiconductor 911
– strain in 894
optoelectronic diamond 551
order parameter 924, 948, 1353
order–disorder ferroelectrics 198
order–disorder model
– ferroelectric behaviour 600
order–disorder transition 198
organic compound
– macroscopic properties 1219
organic device
– nanoscale 1234
organic film 1230
organic glasses 162, 180
organic laminant 1270
organic layer patterning 1232
organic light emitting display
1219
organic light-emitting diode (OLED)
1107, 1226
organic material 1219, 1241
– nonlinear response 1084
organic photoconductor (OPC)
1353
– architecture 967
– function 967
– material 967
organic photoreceptor 953, 974,
1353
– charge-transport layer (CTL)
974
organic semiconductor 1226
– carrier concentration 1113
– zero-field mobility 181
organic solvent sensitivity 1254
organic substrate module circuit
1279
organic thin film material 708
organic thin layer
– dielectric constant 1253
organometallic vapor-phase epitaxy
(OMVPE) 878
organo-silicate glasses (OSG) 653
organosilicon 1231
orientation preferred 361
orientational order 946
oscillator strength 1353
out-of-plane coherence length
1207
overcoat
– diamond-like carbon 976
– layer 975
– polyurethane 976
– silsesquioxane 976
overlap zone-melting 838
oxidation-induced stacking fault
(OSF) 103, 1353
oxide capacitance 422
oxide film 674
oxide glass 52
– empirical rules 390
oxide nanostructure 1152
oxide trap density 432
oxide/nitride (ON)
– dielectric stacks 649
oxide/nitride/oxide (ONO)
– dielectric stacks 649
oxygen ion conductivity 220
oxygen precipitates 1353
oxygen sensor 223, 1243
oxygen stacking fault (OSF) 101
oxygen vacancy 850
– concentration 215
oxygen-doped AlN 786
oxygen-related defects 759
ozone monitoring 1242
P
package style 1270
packaging 727
packaging system
– conjugate 1268
paraelectric 1353
paraelectric phase 599, 605
parallel lithography 1233
paramagnetism 96
parasitic
– capacitance 628, 633
– resistance 633
parity selection rules 66
partial response maximum likelihood
(PRML) 1170
– recording channel 1353
particle flux 1025
particle–material interaction 345
passivation 1353
passivation layer
– thick film 723
passive electronic components
– thick film technology 724
passive matrix addressing 1354
Pauli principle 1199
Pb-free
– soft error 1278
– solder 1278
PC fabrication
– radiation patterning 1002
p-doping 13
PECVD technique 1354
Peierls distortion 1150
Peltier cooling 1130
penetration depth (λL ) 1354
penetration depths 1207
percolation 123
– distance 176
– parameter 183
perfect diamagnetism (Meissner
effect) 1354
performance enhancing electronics
538
perimeter-bonded die 1270
periodic structures 1076
peripheral package 1268
permalloy 1159, 1354
permanent magnetic film 1166
permanent magnets 92
permeability 88, 1161
permeation rates 225
permittivity 630, 1077, 1354
– of free space 188
– relative 48, 188
– vacuum 48
perovskite (CaTiO3 ) 601
perpendicular
– alloys 1178
– anisotropy 1180
– critical magnetic field 1178
– geometry 1175
– magnetic recording 1354
– magnetization 1179
– media 1178
– recording 1178
Subject Index
– layer 969
– material 308
– material properties 1123
– photoreceptor 972
– recombination rate 139
– semiconductors 176
– transient 142
photoconductor 139, 953, 1123,
1135
– amorphous 1124
– band gap 1123
– CdZnTe 1128
– charge transport properties
1131
– electronic noise 1134
– energy absorption coefficient
1132
– imaging properties 1130
– linear attenuation coefficient
1131
– long-wavelength array 861
– loop 976
– material properties 1130
– PbI2 1129
– poly-PbO 1129
– quantum efficiency 139
– responsivities 862
– tribocharged 954
– X-ray absorption profile 1132
photocurrent 1097, 1354
photodarkening 1072, 1354
photodetector 735, 750, 888
– active region 913
– avalanche 913
– band structure 912
– near infrared 1034
– optical 912
– optimum band gap 912
– photoconductive 912, 913
– photocurrent 913
– quantum-well inter-sub-band
1035
– tunnelling 912
– visible 1034
photodiode
– background-limited 869
– dynamic resistance 869
– transconductance 869
– unipolar avalanche 1035
photodiode junctions 874
photodischarge 965
– rate 966
photoelectric effect 344
photoelectrons
– energy distribution 153
photoexcited carriers 318
photoexcited transport molecule
960
photogeneration
– coating 963
photoinduced
– absorption (PA) 567
– anisotropy 1071
– charge generation 956
– degradation 591
photoinduced degradation 1354
photoinduced discharge characteristic
(PIDC) 1354
photoinduced effects 1355
photoisomerization 1085, 1355
photolithography 1003, 1232
– serial patterning 1003
photoluminescence 1355
photoluminescence (PL) 521, 779,
782, 837, 847, 984, 1114
– amorphous semiconductors 570
– detector 991
– long term 991
– measuring system 991
– short term 991
photon 1355
photon density 897
Photon Factory 1142
photon recycling technique 992
photonic band gap (PBG) 997, 998
photonic crystal (PC) 997
– alumina 1005
– architecture 999
– cell structure 1000
– design limitation 1001
– device 997
– electrochemical etching 1004
– fabrication method 1005
– fabrication methodology 1001
– lithographic fabrication 1007
– lithography fabrication 1002
– magnetic 1013
– materials and criteria 1000
– nanometer-scale 1001
– optical functionality 1009
– optical response 1011
– patterning 1003
– PBG structure 1001
– physical architecture 1000
– physical structure 1012
– self-ordered porous 1005
– semiconductor-based
1011, 1012
– structure 998, 1001, 1005
– structure 3-D PBG 1007
– tunable 1011
– tuning 1012
Subject Index
– spin valve head 1176
– write head 1175
perturbation Hamiltonian
– time-dependent 986
PES technique (photoemission
spectroscopy) 1354
p-FET drive current 628
phase diagram
– superconductor 1203
phase equilibria 304
phase sensitive detection 991
phase transformations 402
phase-change
– band gap 1140
– material 1140
– recording 1140
phase-change data storage 1139
phonon 47
– concentration 386, 387
– coupling 782
– dispersion 759
– longitudinal optical (LO) 1031
phonon scattering
– non-polar 28
– polar 28
– temperature dependence 29
phonon Umklapp scattering 762
phonon–phonon anharmonic
interaction 392
phonon–phonon scattering 392, 762
phonons 1354
phosphor 983
– luminescence efficiency 994
– optical display 994
– persistent 993
– strip 993
– X-ray storage 993
phosphorescence 984, 1354
phosphorus-based materials 276
phosphorus-doped diamond films
540
photo-catalysis 1354
photochromic 1354
– effect 1071
photoconduction threshold 964
photoconductive (PC) 278
photoconductive array 860
photoconductive detectors 860
– Johnson-noise limited 863
– long wavelength 866
– n-type 863
– sweep-out condition 863
photoconductive gain 860
photoconductivity 4, 137, 576, 972,
1354
– experiment 138
1393
1394
Subject Index
Subject Index
photonic crystal (PC) material
– optical property 1000
photonic crystal fabrication
– charged particle 1003
– ion-beam technique 1005
– mask processing 1003
– self-assembly methods 1008
photonic device 997
photonic glass 1355
photonic glasses
– optical nonlinearity 1063
photoreceptor 965, 970, 972, 976
– amorphous semiconductor
956
– architecture 967
– back-coat polymer 975
– characterization 965
– charge generation 957
– charge transport 957, 967
– charge transport layer 975
– charge-generation layer 970
– chemical resistance 977
– conductive layer 969, 976
– dark conductivity 956
– dark decay 965
– dark injection 969
– device architecture 953
– discharge 966
– drift mobility 959
– dual layer 957
– electrical characteristic 953
– electrical uniformity 977
– electron transport 968
– electrophotographic 967
– fabrication 976
– hopper coating 968
– inorganic material 956
– layer 967
– mechanical strength 978
– organic 953
– overcoat layer 975
– photoconductivity 972
– photodischarge rate 978
– photosensitivity 978
– quantum efficiency 957
– spatial uniformity 977
– surface charge 956
– xerographic 969
photoreflectance 778
photorefractive beam fanning
1355
photosensitive material 140
photosensitivity 966, 1355
photosensors
– screen printed 730
– thick film sensors 730
photothermal deflection spectroscopy
(PDS) 567
photothermal ionization spectroscopy
(PTIS) 519, 1354
photovoltaic (PV) 278
– conversion efficiency 1228
– organic compound 1228
photovoltaic array
– HgCdTe 858
photovoltaic cell
– band diagram 1096
– diode characteristic 1096
– efficiency 1096–1098
– figures of merit 1096
– maximum power 1097
photovoltaic detector 868
photovoltaic device 731, 1095,
1355
– current-voltage characteristics
868
photovoltaic material 308
– multigrain silicon 1098
– single crystal 1098
photovoltaic module 1355
photovoltaic solar cell 1095, 1355
– large-scale implementation
1105
photovoltaic structure
– alloy composition 1102
– device design 1102
phthalocyanine 1250
– polymorphic 1248
– rare-earth metal 1249
phthalocyanine film 703
phthalocyanine thin film 1254
physical transformation 400
physical vapor deposition (PVD)
330, 661, 1127
physical vapor transport (PVT) 326,
542
piezoelectric
– actuator 617, 1014
– ceramic 603
– coefficient 604
– device 617
– effect 1013
– material 617
– scattering 767
– sensor 617
piezoelectric acoustic-phonon
scattering 771
piezoelectric elastic properties
618
piezoelectric polarization 1355
piezoelectricity 1355
piezoresistance 1113, 1114
piezoresistive sensors 729
piezoresistivity 1355
pigment material 963
pinned film 1164, 1355
pinning
– centres 1212
– effect 1163
– efficiency 1212
Piper–Polich method 246, 334
pitch helix 921
pixel rate 865
pixel size 955
pixie dust 1355
planar diode 876
– (I–V) characteristics 869
planar lightwave circuit (PLC) 998,
1355
planar process 7
Planck’s law 892
planetary reactor 285
plasma cleaning 364
plasma display panel (PDP) 590,
994
plasma etching 1004
plasma frequency 55, 477
plasma-assisted MBE (PAMBE)
754
plasma-enhanced chemical vapor
deposition (PECVD) 581, 679,
1108
plasma-enhanced milling 874
plasmon 1355
plasmon energy 154
plastic deformation 1355
plastic electronics 1355
– semiconducting 1225
plastic encapsulation 1281
plastic transistor 1219
plating impurity 1272
platinum resistance thermometers
(PRTs) 729
platinum thick film 722
p-MOS 7
p–n diode structure
– I –V characteristic 426
p–n junction 124, 898
– reverse-biased 899
pocket calculators 591
point defect 1355
– electrically active 434
– surface 125
– thermophysical properties 104
point defect concentration 109
point defect configuration 103
point group 920
point source 664
Subject Index
polyethylene
– crystallinity 394
polygonization 1356
poly-HgI2 1132
polymer 1063, 1069
– backbone 1222
– blend composition 395
– conducting 1241, 1255
– conductivity 1222
– conjugated 180, 961, 1224
– effective thermal conductivity
395
– electrical conduction 1223
– glass-transformation kinetics 404
– heat capacity 389
– molecularly doped 958
– semiconductive 1224
– substrates thick film 720
– thick film conductors 724
– thick films 718, 723, 1356
– transistors 431
polymer conducting
– physical property 1241
polymeric
– composite thermal conductivity
395
– ferroelectric polyvinylidene
fluoride 607
– ion-selective electrode 1257
– membrane 1257
– organic material 1221
– sensor 1257
polymorphous silicon 142
poly-PbI2 1129
polyphenylenevinylene (PPV)
1223, 1226
polypropylene structure 389
polypyrrole 1223
polysilicon
– emitter bipolar junction transistor
(PE BJT) 410
– granular 258
– production 258
– randomly stacked 258
– seeding 259
polythiophene 1223
polyvinylcarbazole (PVK) 180
polyvinylidene fluoride (PVDF)
607, 729
Poole–Frenkel emission 703
porous array
– domain size 1005
– pore shape 1005
porous material 1009
porphyrin
– synthetic 1249
positive temperature coefficient
(PTC) thermistors 730
positron annihilation 1356
positron emission tomography (PET)
994
post-growth heat treatment
304
potassium dihydrogen phosphate
(KDP) 600
power
– devices 538
– dissipation 430
– electronics 555
– miniaturization 214
– sources 214
– stabilizer 1356
power added efficiency (PAE)
549
precision doping 261
precursor 283, 680, 1356
– diethyl telluride (DETe) 313
– diisopropyltelluride (DIPTe)
313
– di-methyl cadmium (DMCd)
314
– Group II 285
– Group V 285
preferred orientation 361
pressure sensors 729
primary bombarding particles
383
primary ion species 379
printed capacitors 725
printer
– liquid-toner-based 976
process integration 1356
process technology
– 0.13 µ m 1229
profiling techniques 127
proper ferroelectric 1356
proton conductivity 220
pseudogap (cuprate superconductors)
999, 1203, 1204
pseudomorphic HEMT (pHEMT)
10, 526
pseudomorphic interface 157
pseudomorphic layer 1356
pseudopotential linear muffin-tin
orbital (FP-LMTO) 757
p-type
– dopant 845, 848
– doping 849, 850
– GaAs 845
– HgCdTe 870
– silicon 260
– ZnBeSe 849
Subject Index
Poisson’s equation 1355
Poisson’s ratio 1356
– semiconductors 740
polar crystal 598
polar dielectrics 598
polarity 1356
polarizability 48, 629
polarization 188, 929, 1063
– intensity-dependent 1078
– microscopic origins 190
– nonlinear 1077
polarization ratio 1356
polaron model 962
poles of a write head 1356
poling 612
poling mechanism 1069
pollutant gas
– detection 1242
pollution monitoring 1242
poly(methylphenylsilylene), PMPS
– glass transition temperature
961
poly(N-vinyl carbazole) PVK
972
polyacetylene 1222
polyaniline 1223
– conductivity 1256
polycrystalline
– alloy 396
– AlN 773
– conductivity σ 31
– CVD diamonds 540
– CZT 1128
– diamond 551
– dielectric 610
– film 31, 32, 687
– GaAs 506, 1101
– growth 280, 361
– interface states 430
– material 30, 1210
– mercuric iodide 1124
– piezoelectric 610
– pyroelectric 610
– seed crystal 503
– silicon 1114
– silicon emitter 432
– superconductivity 1210
– thin films 685, 1095
– vapour-deposited 686
polycrystalline solid 1356
polydiacetelene
– figures of merit 1086
– nonlinear properties 1085
polydimethylsiloxane (PDMS)
1233
polyelectrolyte 1231
1395
1396
Subject Index
Subject Index
p-type conductivity 1356
p-type diamond
– electrical resistivity 551
– nitrogen-doped 552
– pn-junction 552
p-type ZnO
– complexes 850
– ionization energy 850
– resistivities 850
pull rate 106
pulse width 1356
pulsed laser deposition (PLD)
754
pump–probe experiment 1081
pure GaAs
– properties 501
purification 1149
purified Si 444
Pyrex glass 1069
pyroelectric coefficient 604
pyroelectric device
– radiation detector 620
pyroelectric effect 620, 1356
pyrolytic boron nitride (pBN) 505,
1356
PZT ceramic 618
Q
q-DC behaviour 209
quadratic Stark effect 1029
quantum ballistic transport 42
quantum cascade laser (QCL)
889, 1021, 1033, 1356
quantum communication devices
80
quantum computer 80, 98
quantum conductance 1150
quantum confined Stark effect
(QCSE) 908, 1356
quantum confinement 1021, 1083
quantum Dot (QD) 1356
quantum dot (QD) 298, 332, 565,
889, 1037, 1087
quantum dot laser 894
quantum dot layer 904
quantum efficiency 139, 868, 872,
964, 1100, 1121, 1123, 1357
– differential 900
– internal 900
quantum Hall effect (QHE)
418
quantum interference
– Josephson junctions 1200
– model 94
quantum resistance 1150
quantum size
– amorphous semiconducting
multilayers 577
quantum size effect 1023
quantum well (QW) 332, 521, 522,
565, 577, 754, 889, 894, 1021,
1357
– active region 899
– alloy semiconductor 1028
– application 1032
– biased 1030
– carrier confinement 898
– conversion efficiency 899
– density of states 894
– electronic state 1024
– GaAs/AlGaAs 1029
– inter-sub-band photodetector
(QWIP) 1035
– laser 894
– luminescence peak 1028
– quaternary alloy 1032
– strained-layer 1032
– threshold gain 899
quantum well infrared photodetectors
(QWIP) 488
quantum well inter-subband
photodetector (QWIP) 1357
quantum well laser 883
quantum well structure 11
quantum wire 41, 565, 894, 1037,
1357
quantum-confined Stark effect
(QCSE) 1028, 1036, 1357
quantum-dot glass 1087
quantum-mechanical confinement
633
quantum-mechanical tunneling
629
quantum-size effect 1357
quantum-well device 276
quarter-wave stack 695
quartz microbalance 1228
quasi Fermi level 140, 893
quasi particles 1357
quasi-Fermi levels 1357
quasi-particle band gap 154
quasi-static measurements 421
quaternary
– alloy 750, 1022, 1032
– binary parameters 736
– composition parameters 735
– Hall mobility 751
– optical modes 741
– unit cells 741
qubit 80
quench anneal (QA) 245, 305
R
radar 10
radial distribution function (RDF)
568
radiation detector 620
radiation patterning 1002
radiation resistance 1357
radiation–material interactions 344
radiative process 984
radiative recombination 567, 572
Radio Corporation of America 940
radio frequency (RF) 592, 1271
radioactive Pb210 1277
radio-frequency (RF) circuits 1357
radio-frequency (RF) sputtering
675
radioluminescence 984
Raman crystallinity 588
Raman fiber amplifiers 1068
Raman scattering
– stimulated 1068
Raman-active phonon modes 759
randomly oriented particles 33
randomly stacked polysilicon
– Siemens method 258
rapid thermal annealing (RTA) 514
rare-earth (RE) ion 68
rare-earth spectroscopy 991
Rayleigh coefficient 86
RC delay time response 627
reactive evaporation 667
reactive sputtering 581, 674, 1357
reactor cell 1357
reactor planetary 285
read channel 1170
read head 1357
readout integrated circuit (ROIC)
858
receptor technology 958
rechargeability 225
reciprocal lattice 354, 1357
recombination 146, 862, 1357
– centers 140
– nonradiative 900
– process 176
recombination radiative 567, 572
recording 1139
– density 1181
– film decay rates 1173
– film thickness 1159
– layer 1144
– media noise 1170
– ultra-high 1181
recording medium
– magnetically saturated 1174
Subject Index
– metal-semiconductor 415
– modulation 1113
resistance temperature devices
(RTDs) 310
resistive evaporation 665
resistive transition 1209
resistivity 24, 32, 418, 1357
– effective 23
– index 25
– longitudinal 43
– measurement 451
– mixture rule 34
– residual 23
– strain-induced 1114
– temperature coefficient 24
– Van der Pauw technique 413
resistor
– thick film 722
resistor trimming 723, 726
resolution 351, 1358
resonance response 191
resonant cavity LED (RCLED) 529,
906, 1033
resonant nonlinear response 1358
resonant sensors 729
resonator
– micro-machined 1014
response function 1184
responsivity 1358
reststrahlen band 748
retrograde solidus 1358
RF circuit
– flip chip 1277
– interconnect 1277
RF device
– packaging material 1272
RF magnetron sputtering 614
RF packaging
– substrate 1279
– thermal issues 1284
RF wirebond
– digital 1273
– materials 1273
RHEED 1358
Richardson
– constant 149
– formula 1030
RIE (reactive-ion etching) 544
ring coating 968
Ritland plot 401
Rochelle salt 598
rod-like molecules 929
ROIC 1358
rotational viscosity 949
rotational viscosity coefficient 931,
935
rotaxane molecule 1234
routing 1076
R–T method 1358
Rutherford backscattering (RBS)
– spectrometry 343
Rutherford back-scattering (RBS)
792
Rutherford scattering 1358
S
SAM film 1247
sapphire 13, 277, 298
sapphire substrate 315, 760, 878
saturable absorber 64
saturated vapor pressure (SVP) 283,
1358
saturation
– flux density 1159
– magnetization 82
– parameter 64
– polarisation 599
saturation intensity 1358
saturation magnetization 1358
saturation of absorption 1358
Sb-Te bond 1143
scaling 1358
scaling of integrated circuits 625
scaling targets 627
scanning electron microscopy (SEM)
11, 318, 343, 568
scanning probe microscopy 1358
scanning spreading resistance
microscopy (SSRM) 414
scanning thermal microscopy
(SThM) 763
scanning–tunnelling microscopy
(STM) 660
scattering
– carrier–carrier 449
– carrier–lattice 448
– cross section 21
– mechanism 420
SCH laser 1358
Schiff’s base 940
Schott glass code 76
Schottky barrier 9, 701
Schottky barrier diode SiC 547
Schottky contact
– capacitance-voltage characteristics
150
– current–voltage characteristics
149
– GaAs 524
Schottky contacts 1358
Schottky defect pairs 215
Subject Index
recrystallization 306
rectangular columnar phase 924
rectifier 1219
reduced dislocation density 506
re-entrant phase 924
reflectance 48, 694
reflection coating 696
reflection coefficient 70
Reflection difference spectroscopy
(RDS) 317
reflection high energy electron
diffraction (RHEED) 291, 344,
510
reflectometer 1357
reflow 1357
reflow soldering 727
refractive index 47, 52, 75, 694,
1077, 1086
– average 52
– complex frequency-dependent
189
– intensity-dependent 1065, 1078
– MQWs 1083
– nonlinear 1077
– nonlinear changes 1077
– oxide glass 52
– semi-empirical 1066
– tuning 1011
– ultrafast nonlinear response
1077
refractory compound 213
refractory layer 976
relative dielectric permittivity 629
relative permittivity 48, 188
relative sensitivity 382
relative susceptibility
– frequency-dependent 191
relaxation
– ferroelectric 199
– frequency 202, 205
– rate 200
– response 192, 194, 199
– responses 196
relaxation time 401
– approximation 29, 448
– dipole density fluctuation 200
reliability of dielectrics 640
remanent magnetization 1160,
1173, 1357
remanent polarization 1357
remote impurity scattering 40
residual resistivity 23
resistance
– contact 415
– junction 1219
– limit 1196
1397
1398
Subject Index
Subject Index
Schottky diode
– Ni-a-Si:H 591
Schottky emission 707
Schottky formation energy 216
Schottky–Mott rule 147, 1358
Schrödinger equation 1024
Schroder van Laar equation 942
schubweg 1123
scintillator 250, 994
scintillator material
– growth 235
screen 1358
screen printing 1358
screen printing (SP) 717, 1127
– polymer thick films 724
screen-printed paste 1099
secondary electrons 1358
secondary electrons (SE) 348
secondary ion mass spectrometry
(SIMS) 127, 311, 343
secondary ion yields 381
secondary-ion emission spectroscopy
(SIMS) 846
secondary-ion mass spectrometry
(SIMS) 512, 1358
secondary-ion mass spectroscopy
(SIMS) 792
Seebeck effect 730
seed crystal 335
seeded chemical vapor transport
(SCVT) 335
seeded physical vapor transport
(SPVT) 335
segregation 125, 264, 272
segregation coefficient, k 1359
segregation of components 674
selection rule 1359
selective
– epitaxy 1359
– etching 514
– growth 493
selenization 1359
self-assembled monolayer (SAM)
1247
self-assembly 1001, 1359
– organic material 1231
self-assembly method
– inverse opal 1008
self-defocusing 1359
self-diffusion 130
– dopants 128
self-diffusion parameter 128
self-focusing 1359
self-interstitial configurations 103
self-interstitials 128
– concentration 122
– semiconductor 122
self-organized process 736
self-scanned electronic readout
1122
self-similar correlations 203
Sellmeier equation 51
semiconduction
– CdTe film 703
– filmelectronic properties 1108
– layer 412
– material 499
– nanotubes 1151
– thin film 659, 700
semiconductor 3, 5, 13, 22, 51, 59,
288, 442, 725, 766, 805, 1000,
1084, 1149
– absorber layer 1097
– alloy 11, 338, 736, 741
– alloy concept 736
– amorphous 59
– amorphous organic 1113
– amorphous phase 1140
– analysis 373
– band gap 435, 1098
– band gaps 8
– band picture 1066
– band structure 895
– band-structure 152
– binary compound 122
– carrier scattering mechanisms
750
– chalcogenides 1140
– characterization 362, 373
– characterization techniques 348
– components 418
– compound 8
– conductivity 696
– core levels 152
– crystalline 38, 121
– crystals 1066
– cut-off wavelength 1097
– density of states 163
– detector 621, 1359
– device 409, 538, 696, 888
– device applications 3
– die 1271
– dielectric band gap 154
– dielectric constant 154
– diode lasers 895
– direct band gap 890
– dislocation 13
– electrical characterization 410
– electron transport 806
– figures of merit 1081
– film 678, 1244
– first generation 537
– free carriers 411
– functional properties 343
– future-generation 537
– gain 907
– gain/loss 892
– gauges 1115
– glass 162
– Group III–V 131
– Group IV 131
– growth method 233
– Hall effect 418
– heterostructures 147, 154, 363,
365
– ideal 154
– impurities 63
– indirect band gap 890
– indirect-band-gap 442
– industry 5, 255
– interband transition region 749
– interfaces 153
– laser 12
– material 891
– materials 4
– microelectronics 625
– mobility 418
– modulator 907
– nanofabrication 1080
– nondegenerate 22
– nonlinear response 1083
– n-type piezo-electric 336
– optical amplifier (SOA) 889, 907
– optical band gap 890
– optical properties 1066
– organic 1226
– oxide sensing 1241
– permittivity 416
– physical properties 541
– properties 577
– pseudo-binary (CdTe–ZnTe)
338
– quantum well 1021
– quantum wells 907
– refractive index 892, 1080
– relative permittivity 22
– resistivity 410, 411
– response time 1083
– silicon 234, 256
– specific heat 764
– spontaneous emission 890
– spontaneous ordering 736
– strain in 894
– structural characterization 365
– tetrahedral 895
– thermal conductivity 393
– thermal properties 741
– traveling heater method 338
Subject Index
shallow
– acceptors SI GaAs 521
– donor electron 783
– impurities 445
– impurity doping 448
– structures 380
– trap level 702
– traps 1125
– trench isolation (STI) 630
shallow-energy-level dopant 1359
shape
– anisotropy 89
– forming 611
shear modulus 758, 1359
sheet resistance 418, 1359
sheet resistivity 411
Sheik–Bahae relation 1066
Shell Solar 1104
shields 1359
Shockley-Read 871
short waveband (SW) 856
short-range atomic structure 1359
short-wavelength infrared (SWIR)
312
shot noise 868, 1188
showerhead reactor 285
Si
– conduction-band effective mass
445
– diffusion coefficient of electrons
470
– doping effect 450
– drift velocity 469
– electrical properties 451
– Energy levels of impurities 444
– equilibrium concentrations 108
– Hall-effect parameter 459
– intersititials 103
– interstitial aggregation 113
– interstitials 103, 108
– nitrogen influence 113
– optical absorption spectrum 477
– oxidation kinetics 636
– room-temperature resistivity 453
– thyristors 551
Si/SiGe quantum structures 488
Si1−x Gex alloys 129
Si1−x Gex system
– electron transport properties 368
Si/SiO2 system
– point defects system 639
Si:H
– conduction tail states 59
Si-based diodes 539
Si-based transistor 639
Si-based transistor technology 635
SiC 129
– a-face growth 543
– defects 543
– device 542, 544
– diffusion coefficient of dopant
544
– electrical properties 543
– electronic application due 542
– high-frequency devices 544
– IGBT (insulated gate bipolar
transistor) 551
– MOSFET 539, 550
– packaging 544
– polytypes 541
– rectifiers 548
– Schottky barrier 544
– Schottky barrier diodes 547
– stacking sequence 541
– substrates 543
– thyristors 551
SiGaAs
– electrical analysis of defects
518
– MESFET 525
– shallow-level defects 519
– TSC spectrum 519
SiGe 355
– growth 492
– hydrogen passivation 492
– in-situ hydrogen bake 492
– optical properties 488
– pre-epitaxy cleaning 492
– quantum wells 488
signage 993
signal decay rate 1359
signal processing 1076
– optical 1076
signal processing device 1078
signal switching 1076
signal-to-noise ratio (SNR) 1133
SiH3
– enhanced surface diffusion
588
silane (SiH4 ) 290, 581
silica glass 569
– PbO 1069
– surface roughness 1045
silicon 4, 5, 7, 913
– amorphous 59
– bandgap 255
– crystal 256
– crystals growth new methods
266
– CZ crystal growth 260
– Czochralski (CZ) grown 112
– device 5
Subject Index
– undoped 890
– wafer 412
– work function 700
– wurtzite 740
– zincblende 740
semiconductor alloy 9
semiconductor laser 753, 888
– current confinement 898
– double heterostructure 897
– edge emitting 896
– index-guiding 898
– radiative recombination 901
semiconductor layer
– analysis 376
– H diffusion 128
– hydrogen 127
– rare-earth doping 273
– sheet resistivity 411
semiconductor material 411
semiconductor nanocrystals 1359
semiconductor third generation
538
semiconductor–dielectric interface
– electrical characterization 410
semiconductor-grade silicon (SG-Si)
257
semiconductor–insulator interfaces
– charge pumping 428
semiconductor–semiconductor
interfaces 156
semi-insulating 1359
semi-insulating planar buried
heterostructure (SIPBH) 899
sense transistor 1185
sensing
– element 1228
– filament 1255
– material 1241
– mechanism 1258
– membrane 1250
– membrane fabrication 1259
sensitivity 1128, 1244
sensitivity relative 382
sensor 1131, 1155, 1241, 1249,
1257
– amperometric 224
– microelectronics 717
– microstructure glass 1257
– miniaturization 214
– optical absorption 1251
– organic vapour 1259
– oxygen 223
– solid-state 1244
– thick film technology 728
separate confinement heterojunction
(SCH) 530
1399
1400
Subject Index
Subject Index
– device electrical performance
1268
– device power dissipation 1268
– dislocation-free 256
– dopants 265
– doping 260
– element 256
– FZ method 259
– growth 267
– hydrochlorination 257
– lattice constant 482
– magnetic-field-applied continuous
CZ 267
– materials preparation 256
– metallurgical-grade 257
– microelectronics 1152
– multiplexer 876, 878
– nitride 704
– nitride films 679
– nitrogen concentration 112
– n-type 260
– optical constants 472
– optical properties 472
– physicochemical characteristics
263
– power devices 539
– p-type 260
– semiconductor industry 255
– silica 256
– single-crystal growth 258, 260
– stacking faults 112
– tetrachloride 257
silicon carbide (SiC) 13, 275, 537
– carrier mobilities 540
– domain walls 543
– lattice match 541
– material properties 540
– micropipes 543
– preparation 542
silicon crystal growth
– magnetic-field-applied CZ 266
silicon polymorphous 142
silicon surface capacitance 425
silicon technology 3, 539, 1244
silicon tetrachloride (SiCl4 ) 257
silicon wafer technology 102
silicon-based light emitter 488
silicon-germanium (SiGe) 7
– epitaxial layers 492
– growth 492
– physical properties 482
silicon-on-insulator (SOI) 421
silicon-oxynitride
– SiON 641
silk screen method 1276
silk screen printing 719
silver conductors
– thick film 721
silver/palladium conductors
– thick film 721
simply thermoluminescence (TL)
989
SIMS (secondary ion mass
spectrometry) 373
single crystal diamond 552
single crystal growth 261, 361
single crystal Si
– optical constants 472
single crystal silicon 259
– conversion efficiency 1099
– CZ growth 261
single crystal substrates 9
single electron tunnelling 1199
single flux quantum 1212
single photon counting 991
single quantum wells (SQW) 332
single-crystal aluminium nitride
786
single-electron density of states
1198
single-electron transistor (SET)
1151
single-junction cells 1100
single-layer organic photoconductor
1359
single-photon emission computed
tomography (SPECT) 994
single-wall carbon nanotube (SWCN)
1148, 1225
sintered ceramics
– aluminium nitride 786
sintering 611
Si–O bond stretching vibrations
450
Si–O bonding network 638
SiO2 8
– physicochemical properties 638
– reliability 641
SiON film 642
S-I-S junction 1199
Si–SiO2 interface 428
SIT (static induction transistor)
549
slab waveguide 1001
slider 1359
sliding 873
– boat 273, 1359
– boat LPE 310
– boat method 274
– boat process 873
slope parameter 1359
slush 1359
small-angle X-ray scattering (SAXS)
568
smart sensor 1257
smart windows 226
smectic liquid crystal 947
smectic phase 919, 1359
sodium nitrite (NaNO2 )
– order–disorder ferroelectric 600
soft lithographic method 1232
soft magnetic materials 91
soft underlayer (SUL) 1175, 1359
– materials 1182
solar cell 13, 532, 565, 581, 731,
1360
– amorphous silicon (a-Si:H)
1100
– a-Si:H-based 591
– band gap 1034
– crystalline silicon 1099
– GaAs 1101
– heterojunctions 1101
– µc-Si:H-based 593
– photocurrent 1034
– photovoltaic 1095
– single-junction 1101
– thin-film polycrystalline silicon
1099
solar cell photovoltaic 1095
solar electric modules 1099
solar energy 1095
solder
– alloy 1277
– alternatives 1281
– conduction 1282
– dipping 727
– electronic interconnect 1274
– flip chip 1277
– interconnect 1273
– interconnect reliability 1275
– intergranular failure 1275
– intermetallic compound 1275
– microstructure 1274
– paste 1276
– Pb-free product 1277
– Pb–Sn 1277
– plating 1276
– RF circuit 1277
– wetting 1276
solder joint
– flip chip 1276
– microstructure 1275
– strain 1275
soldering 727
sol-gel techniques 1070
solid electrolyte 223–225
solid ionic conductors 221, 226
Subject Index
spin–orbit scattering 1167
spin–spin scattering 1167
spintronic devices 80
spintronics 98
splay deformation 926
splay elastic constant 927
spontaneous emission (SE) 896
spontaneous ordering 736
spontaneous polarization 598, 1360
spreading resistance technique 410,
412, 414
SPRITE 1360
SPRITE detector
– carrier concentration 864
– carrier velocity 866
– conductivity modulation 864
– power dissipation 866
– scan velocity 866
sputter depth profile 1360
sputter-induced roughness 382
sputtering 345, 378, 668, 1360
– asymmetric-AC 675
– chemical information 378
sputtering radio-frequency (RF)
675
sputtering reactive 581, 674
sputtering yield 669, 671
square-root DOS 62
squeegee 1360
SRAM 1360
SSR 1360
SSR crystals 306
stability parameter
– superparamagnetic 1175
stabilized a-Se 1125
stacked capacitors 649
Staebler–Wronski effect 576
stainless steel
– substrate 720
standing wave 897
Stark effect
– quadratic 1029
– quantum-confined 1028
static dielectric constant 457
static disorder 62
static random-access memory
(SRAM) 647
static SIMS (SSIMS) 377, 378
steady state photoconductivity
(SSPC) 138
steady-state electron transport 811,
820
steady-state plasma 582
STEBIC 362, 368
Stepanov technique 1360
stimulated luminescence 983
Stirling cryocoolers
– long term reliability 860
Stirling engine refrigerator 857
stoichiometry 1360
Stokes Shift 1360
stop band 999
storage capacitor
– leakage of charge 648
storage densities 1144
storage time 1172
strain 1360
– axial 895
– components 1117
– compressive 1026
– gauge 1114
– hydrstatic 895
– relaxation 332
– tensile 1026
– tuning 1013
strained bond formation 588
strained quantum well 1025, 1360
strained-layer techniques 907
strain-induced modulation 1117
Stranski–Krastanov (SK) growth
332
Stranski–Krastanov mode 298
stress 1360
strong anchoring 1360
structural characterization
– semiconductor heterostructures
365
structural parameters
– crystal density 737
– lattice parameter 737
– lattice-matching condition 737
– molecular density 737
structural relaxation 1360
structure factor 349
sub-100-nm (CMOS) technology
643
sublimation epitaxy
– growth rate 542
sublimation growth 245
sublimation travelling heater method
(STHM) 246
submicron machining 1002
substitutional impurities 1361
substrate 1361
– Al2 O3 ceramic 1279
– basic types 665
– dielectric constant 1278
– embedding of components 1280
– flip chip interconnect 1279
– lattice-matched 873
– LTCC 1279
– material 1279
Subject Index
solid oxide electrolytes 215
solid oxide fuel cells (SOFC) 224,
226
solid polymers 389
solid silicon
– impurity solubility 264
solid state ionics 213
– future trends 226
solid state recrystallization (SSR)
245, 303, 305
solid/liquid/gaseous phase equilibria
1360
solids
– electrical behavior 221
solid-source MBE
– effusion cell 295
solid-state lightening 13
solid-state polymerization 1086
solidus 1360
solid–vacuum interfaces 153
solitonic propagation of pulses
1360
sonoluminescence 984
sound velocity 545
space charge region 221, 1211
space system 538
space transformer 1268
space-charge-limited conductivity
701
spacing layer 1163
spatial frequency 1360
spatial resolutions 375
specific heat 764, 1360
– III–V binaries 741
specific volume 401
spectral broadening 1068
spectroscopic ellipsometry 488
spectroscopic response 191
specularity parameter 698
spherical aberration 353, 1360
spin coating 1245
– film thickness 1247
spin injection 99
spin magnetic energy 43
spin manipulation 98
spin polarization ratio 1186
spin split-off band 901
spin valve 1162, 1167, 1360
– memory application 1187
– resistance 1168
spin valve read head 1163
spin-based electronics 79
spin–charge separation 1151
spinels 92
spin-on-glass 1099
spin–orbit coupling 985
1401
1402
Subject Index
Subject Index
– non-lattice-matched 315
– organic 1278, 1279
– Poisson’s ratios 1115
substrate debiasing 879
substrate material 1022, 1279
– underfill 1280
subthreshold slope 427
SUMIT (simultaneous unipolar
multispectral integrated
technology) 880
super-bandgap 1065
superconducting oxide 1194
superconducting state
– resistance 1195
superconductivity 1151, 1193
– BCS theory 1198
– history 1194
– mechanism 1198
– MgB2 1214
– organic materials 1195
superconductor 1202
– electrodynamics 1197
– high-temperature 1193
– magnetic behaviour 1196
– metallic 1194
– phase diagram 1203
– phase diagrams 1201
– zero field-cooled 1196
superconductors of type I 1361
superconductors of type II 1361
supercontinuum 1068
supercooled liquid 399
supercooling temperature 1361
superlattice (SL) 845, 883, 1021,
1024, 1083, 1162, 1361
– THz-frequency emission 1031
– avalanche photodiode (SL-APD)
1035
– cell size 1031
– effective band gap 1035
– mathematical description 1026
– structures 124
– vertical transport 1031
superlattice avalanche photodiode
(SL-APD) 1361
superparamagnetic effect 1171,
1173
superparamagnetic limit 1156, 1187
superparamagnetism 1361
super-resolution near-field structure
(super-RENS) 1139
supersaturated solutions 310
supersaturation 109, 335, 683, 1361
superstrate 1361
supramolecular assembly 1248
supramolecule 1241
surface
– absorption 587
– anisotropy 90
– band bending 424
– energy 95
– kinetics 683
– mobility 686
– morphology 279
– plasmon 1252
– reaction concept 583
– roughness 1045
– scattering 30
– source 664
– topography 374
surface acoustic wave (SAW) 729
– device 608
surface alignment 925
– director orientation 932
– energy 926
– homeotropic 925
– hybrid 939
– pre-tilt 928, 937
– strong anchoring 926
– uniform planar 925
– weak anchoring 926
surface analysis
– dopant concentrations 377
surface chemical analysis 373
– ISO TC 201 373
surface emitting laser structures
(VCSEL) 296
surface mount components 727
surface mount devices
– component 724
– thick film techniques 724
surface passivation 1361
surface-mount devices (SMDs)
1361
susceptibility 64, 86, 209
– frequency dependence 205
– linear 195
– nonlinear 1063
– self-similar scaling 205
susceptibility increment 198
– dipole contribution 209
susceptibility relative
– frequency-dependent 191
susceptor 1361
SW 1361
Swanepoel’s method 71
s-wave symmetry 1203
switching
– thermally driven 1172
switching field 1185
switching time 627
SWNT (single wall nanotube)
– electrical transport 1150
– intrinsic superconductivity 1151
– ropes 1150
SWNZ (single wall nanotube)
– electrical transport 1150
synthetic antiferromagnet 1361
synthetic antiferromagnetic film
1166, 1185
synthetic ferrimagnetic media (SFM)
1361
synthetic ferromagnetic media (SFM)
1173
system performance 1131
system-on-a-chip (SOC) 255
T
T nonlinear figure of merit 1361
TA Instruments 405
tail states 1361
target material 673
Tauc gap 1362
Te concentration 846
Teal-Little (TL) 451
– method 259
technology node 1362
– cycle time 626
telecommunication 888, 997, 1032
television screens 983
TEM
– imaging 353
– lenses 352
temperature coefficient of refractive
index (TCRI) 51
temperature coefficient of resistance
(TCR) 1362
temperature coefficient of resistivity
(TCR) 697
temperature-modulated differential
scanning calorimetry (MDSC)
– thermal conductivity 403
template
– self-assembled 1005
templating 1001
tensile strain 1026, 1362
ternaries
– III–V 740
ternary alloy 1022, 1165
– mobility 750
– optical band gap 791
ternary and quaternary alloys
1362
ternary InGaN 792
ternary parameter 736
ternary systems 305
Tersoff potential function 104
Subject Index
thermionic emission current 416
thermistors 729, 1362
– positive temperature coefficient
(PTC) 730
thermochromism 921
thermocompression 726
thermocompression bonding 726
thermodynamic melting temperature
398
thermoelectric cooler 879
thermomechanical fatigue 1275,
1362
thermophotovoltaic (TPV) 286,
1362
thermophysical properties 103
thermoplastic polymer
– adhesive 1281
– glass-transition temperature
1281
thermoplastic polymer thick films
724
thermosetting
– material 1281
thermosetting polymer thick films
724
thermosonic bonding 726
thermotropic 1362
thick film 613, 698, 717, 720, 721,
1244, 1362
– copper 722
– definition 717
– dielectric pastes 723
– fabrication 717
– photoconductor 730
– piezoelectrics 729
– platinum conductor 728
– polymer 723
– substrates 720
thick film chemical sensor 730
thick film components
– resistors 725
– tolerance 725
thick film conductor
– characteristics 721
– sheet resistivity 721
thick film definition 717
thick film fabrication 717
thick film hybrid circuits
– active components 725
– manufacturing 717
– packaging 727
thick film platinum 722
thick film resistor
– material 722
– pastes 722
thick film solar cell 731
thick film technology 718, 724
– printing 718
thick heteroepitaxial layers 782
thin dielectric film 700
thin film 47, 659, 696
– cobalt alloys 1169
– deposition 661
– deposition methods 660
– electrical properties 660, 696
– fundamental properties 692
– morphological characteristic 689
– optical properties 660, 692
– optics 692
– organic 1233, 1241, 1248
– properties 660, 682
– resistivity 31
– semiconductor 1107
– solar cells 1103
– stack transmission properties
695
– structure 682, 683
– technology 659
– transducer 1114
– transistor (TFT) 565, 581, 590,
660, 1107, 1121
thin film deposition
– variable 682
thin film phthalocyanine 1254
thin metallic films 696, 698
thin organic film 1245
– island density 1245
third generation semiconductor
538
third harmonic generation 1069
third-order nonlinearities 1070
third-order nonlinearity
– organic molecules 1086
Thomas–Fermi screening 1211
threading dislocations 763, 1362
threading screw dislocations 543
three-dimensional lattice 386
three-terminal structure 1226
three-valley Monte Carlo simulation
806
three-zone model
– microstructure 690
threshold 898
threshold current 903
– characteristic temperature 904
threshold electric field 928
threshold voltage (Vth ) 427, 1112,
1117, 1362
– modulation 1118
thyristors 539
Ti/SiC Schottky diode 548
tight-binding model 1026
Subject Index
tertiarybutylarsine (TBA) 286
tertiarybutylphosphine (TBP) 286
tetracyanoquinodimethane (TCNQ)
1224, 1249
tetraethoxysilane (TEOS) 651
tetragonal ferroelectric phase 605
tetrahydrofuran (THF) 614
tetrathiafulvalene (TTF) 1224
TFT
– fabrication 1108
– inverted staggered 1112
– longitudinal 1117
thallium bromide 1130
thallium-based compounds 1202
thermal analysis 385
thermal budget 1362
thermal coefficient of expansion
(TCE) 720
thermal conductivity 385, 539, 545,
1362
– bulk AlN 763
– ceramics 391
– crystalline insulators 391
– crystalline polymers 394
– crystalline semiconductors 393
– CVD diamond 555
– glasses 391, 393
– lattice 393
– metal alloys 391
– metals 391, 395
– nanotube 1148
– noncrystalline insulators 393
– polymeric composite 395
– polymers 391
– substrate 720
thermal current 1030
thermal decomposition 678
thermal diffusion current 870
thermal expansion
– principle 396
thermal expansion coefficient (TEC)
385, 396, 545, 761, 1362
– Group III–V 741
thermal expansion matching 544
thermal imaging 857, 879
– photovoltaic HgCdTe 857
thermal nonlinearities 1362
thermal poling 1069
thermal resistivity
– Group III–V binaries 742
thermally grown SiO2 706
thermally stimulated current (TSC)
spectroscopy 1362
thermally stimulated luminescence
(TSL) 989
thermionic emission 149
1403
1404
Subject Index
Subject Index
tilted perpendicular recording
– geometry 1181
time delay and integration (TDI)
867
time division multiplexing 1362
time-dependent dielectric breakdown
(TDDB) 640
time-domain charge measurement
(TDCM) 519, 1362
time-of-flight (TOF) 144, 572, 959
– electron mobility 176
– post-transit current 145
time-of-flight SIMS (ToFSIMS)
377
tipping 873, 1362
– boat 273
tipping techniques 308
toner 955
total heat flow 404
track density 1174, 1184
track width 1170
transconductance 427, 640
transfer mold process 1280
transfer reaction 679
transferred electron effect 1362
transformer 92
transient electron transport 810, 824
– characteristics 821
– drift velocity 821
transient enhanced diffusion (TED)
124
transient photoconductivity (TPC)
142, 143
transistor 3–5, 410, 725, 1363
– average price 7
– in-plane 553
– performance 9
– p-n junction 9
– scaling 628
transistor channel scaling 630
transistor design technology
– switching time 627
transistor electrical characteristics
626
transistor gate dielectric
– industry scaling 632
transistor performance 632
transistors on a single chip 7
transit time 1363
transition
– dipoles 191
– insulator–metal 445
transition probabilities
– relative 986
transition region entropy 201
transition shape 1180
transition temperature 920, 1193
– liquid crystal–isotropic 924
– nematic-isotropic 920
transition-metal films 1162
transition-metal ions 82, 986
transition-metals
– magnetic properties 97
transmission coefficient 70, 1186
transmission electron diffraction
(TED) 351
transmission electron microscope
(TEM) 343, 568, 588
transmission electron microscopy
(TEM) 11, 689, 846
transmission line measurement
(TLM) 410
transmission line model (TLM)
417
– test structure 417
transmission modulator 1036
transmission probability 1030
transmittance 71
transparent conducting oxide (TCO)
1102, 1363
transparent films 695
transparent layer 694
transport
– agent 333
– bipolar 1030
– chamber 317
– currents 1211
– energy 174, 182
– unipolar 1030
– vertical 1030
transport coefficients 170, 179
– field dependence 180
transport phenomena
– low-dimensional 1150
transport-limited growth 286
transverse electric (TE) gain 896
transverse magnetic (TM) gain 896
transverse magnetic bias film 1363
transverse optic (TO) 55, 759
– modes 741
trap concentration 703
trap distribution 1113
trap level 702
TRAPATT diode 471
trap-free space-charge-limited current
density (TFSCLC) 962
trap-free transport 962
trapped carrier 1135
– density 1110
trapping 871
– levels 143
trapping centers 1363
traveling heater method (THM)
237, 245, 303, 306, 1363
– ion implantation 866
triangular lattice 1000
triboluminescence 984
trichlorosilane (SiHCl3 ) 257
triethylamine (TEN) 289
triethylgallium (TEGa) 287
trimethyl-aluminium (TMA) 508
trimethylantimony (TMSb) 287
trimethyl-gallium (TMG) 508
trimethyl-indium (TMI) 508
trimming 1363
triode sputtering 673
triphenylenes 924
triple-junction cell 1101
triple-layer graded heterojunction
(TLHJ) 312
trisazo compound 972
true specific heat capacity 390
tungsten bronze
– PbNb2 O6 (lead metaniobate) 605
tuning photonic crystal response
1013
tunnel conductivity 1203
tunneling conduction 1363
tunneling current 634
tunneling magnetoresistance (TMR)
1188, 1363
tunneling transition
– charge carrier 179
– coefficient 1186
– probability 169
tunnelling single electron 1199
turbo-disc reactor 285
twin crystal 1363
twinning 315
twist deformation 926, 927
twisted nematic display
– chiral dopant 936
two-beam experiment 1067
two-dimensional electron gas
(2DEG) 297
two-photon absorption 1067, 1079,
1084, 1363
two-zone furnace 246
type of film 682
type I band alignment 1024
type I low-Tc superconductor
1196
type II band alignment 1024
type II superconductor 1200
– mixed state 1201
– pinning 1212
– vortex–vortex interaction 1211
Tzero technology 405
Subject Index
U
V
V/I boundary 1363
vacancy 1363
– aggregation 109
– concentration 110, 115, 123, 125
– diffusivity 112
– flux 124
– formation energy 104
vacuum deposition 663
vacuum distillation 838
vacuum field effect transistor (VFET)
– diamond 554
vacuum permittivity 48
valence band 1363
valence band (VB) 57, 890, 1221
– amorphous semiconductors 566
void 1364
– density 111
– size 107
Volmer–Weber (VW) growth 332
voltage noise measurement 432,
433
– low-impedance devices 433
voltage scaling 629
vortex structures 1187
VPE 1364
VPE growth
– group II–VI wide bandgap 330
– source materials 330
W
W nonlinear figure of merit 1364
wafer fusion 1008
Wannier–Mott excitons 63
waste in electrical and electronic
equipment (WEEE) 1277
wave
– in-plane 1027
wave function
– Bloch-type 442
wave soldering 727
wavelength dispersive X-ray analysis
(WDX) 343
wavelength division multiplexing
1364
weak absorption tail (WAT) 62
weak links 1364
web photoreceptors 1364
white-light LED 13, 992
wide bandgap
– compounds 325, 332
– electron mobilities 774
– epitaxial growth 328
– Group II–VI compound
semiconductors 327
– Group II–VI compounds 328
– InN 774
– materials 781
– quantum dot 332
– quantum well 332
– semiconductor 147, 158, 537, 538
wide-band X-ray 839
Wiedemann–Franz–Lorenz law
395
windows growth 235
wire bonding 726, 1364
– digital applications 1272
– materials 1272
work function 700, 1030, 1364
write efficiency 1364
write gap 1364
Subject Index
UHV 1363
ultrafast nonlinear response 1363
ultrafast nonlinearity real part
1084
ultrahigh recording densities 1181
ultrahigh-vacuum chemical vapour
deposition (UHV-CVD) 481
ultrahigh-vacuum electron–cyclotron
resonance-radio-frequency
magnetron sputtering
(UHVECR-RMS) 774
ultra-large-scale integration (ULSI)
255
ultrasonic 726
ultrasonic bonding 726
ultrathin films 1212
ultraviolet light absorption
spectroscopy (UVLAS) 582
Umklapp process 392
unbalanced magnetron technique
677
under-bump metallurgy (UBM)
1276, 1278
underfill 1363
– material 1280
undoped GaAs 510
uniaxial anisotropy 1363
uniform planar alignment 1363
unipolar avalanche photodiode
(UAPD) 1035, 1363
unit cell 682
upper critical field (Bc2 ) 1363
Urbach tail 62
UV lithography 1002
UV poling 1069
UV sensors 754
– deformation potentials 747
– offset 152
valence band offset 154, 157
valence electrons 60
valence-alternation pairs (VAP) 567
van der Pauw technique 413
van Hove singularities 59
vapor growth 246
vapor growth techniques 1363
vapor pressure controlled Czochralski
(VCZ) 244
vapor sensing 1254
vapor-phase epitaxy (VPE) 6, 325,
508, 873, 889, 1364
variable-range hopping (VRH) 172,
705, 1113
(VCSEL) 1364
vector flow epitaxy (VFE) 285
Vegard’s law 1364
vehicle 1364
velocity–field characteristic 811
– AlN 813
– GaN 813
– Group III–V nitride semiconductor
813
– InN 813
Verdet constant 69
Verneuil growth method 233, 237,
250
Verneuil technique 1364
vertical dipper LPE 876
vertical gradient freeze (VGF) 237,
245, 506
– GaAs 506
vertical transport 1030, 1364
vertical unseeded vapor growth
(VUVG) 246
vertical-cavity surface-emitting laser
(VCSEL) 531, 889, 1021, 1032,
1364
– active region 905
– beam profile 905
– semiconductor–air interface 905
vertical-gradient freeze technique
1364
VI recombination 1364
via-hole device 875
– Group (I–V) characteristics 869
vibration dynamics 207
vibrational mean energy 386
virtual gap states 1364
virtual gap states (ViGS) 153
viscosity coefficient
– Miesowicz experiments 930
– nematodynamics 931
viscous torque 931
1405
1406
Subject Index
write head 1364
– efficiency 1161
write pole tip 1180
wrong bond 1364
wurtzite
– AlN 809
– GaN 761, 811
– Group III–V binaries 747, 748
– Group III–V semiconductors 747
– structures 755
Würtzite structure 1364
WZ-GaN
– specific heat 765
X
Subject Index
xerographic discharge 965, 1364
xerographic gain 1365
xerography 955, 1365
XeroX Copier 955
X-ray 11, 1365
X-ray absorption fine-structure
(XAFS) spectroscopy 1141
X-ray absorption near-edge structure
(XANES) 1141
X-ray absorption spectroscopy (XAS)
758
X-ray damage 1124
X-ray diffraction 1365
X-ray diffraction (XRD) 331, 354,
523, 1141
– crystal plane spacings 350
X-ray emission 344
X-ray fatigue 1124
X-ray image
– clinical 1130
– detector 1123
– photoconductor 1130
– sensors 1124
X-ray lithography 1007
X-ray photoconductor 1122, 1123
– absorbed energy 1131
– material properties 1131
– properties 1123
X-ray photoelectron spectrometry
(XPS) 343
X-ray photoelectron spectroscopy
(XPS) 373, 636, 1365
– primary excitation 375
X-ray sensitivity 1365
X-ray storage 983
– phosphor (XRSP) 993
X-ray topography 1365
X-ray-sensitive electron-beam image
tube (XEBIT) 1130
Y
YAG (yttrium aluminium garnet)
726
yellow luminescence 785
yield strength 1365
Young’s modulus 757, 1365
– semiconductors 740
yttrium stabilized zirconia (YSZ)
547
Y-type construction 1246
Y-type deposition 1231
Z
ZBLAN glass 389
Zeeman energy 81, 88
zero-phonon transition 988
zinc compound 684
zinc selenide (ZnSe) 12
zincblende structure 500, 755, 1365
zirconia auto exhaust sensor
223
zirconia based systems 225
Zn in GaAs
– diffusion profiles 512
ZnBeSe
– Be content 848
ZnO
– deep green luminescence 849
– MBE-grown 850
– optical properties 850
– p-type doping 849
– quantum wires 851
– single crystals 336
– undoped 850
– wide band gap 843
ZnSe 849
– delta-doping 843
– DX centers 843
– epitaxial layer 330
– N doping 843
– p-type doping 843
– single crystal 338
ZnSe-based devices 843
zone refining 1365
zone-refining 5