COMPARISON OF CLEANING AND DAMAGE OF POROUS LOW-k SiCOH IN Ar/O2 AND He/H2 PLASMAS WITH UV/VUV FLUXES*

Comparison Of Cleaning And Damage Of Porous Low-k SiCOH In Ar/O2
And He/H2 Plasmas With UV/VUV Fluxes
Juline Shoeb1, Mark J. Kushner2
1
Dept. of Electrical and Computer Engr, Iowa State University; Ames, IA 50011 [email protected]
2
Dept. of Electrical Engr and Computer Science, University of Michigan, Ann Arbor, MI 48109
[email protected]
Abstract
Porous dielectric materials offer lower capacitances that reduce RC time delays in integrated circuits. Typical low-k materials include SiOCH – silicon dioxide with carbon groups, principally CH3,
lining the pores. Fluorocarbon plasmas are often used to etch such low-k materials. These processes
leave a fluorocarbon polymer on the low-k surface that must be removed, often done with oxygen containing plasmas. With porosities as high as 0.5, pores open to the surface and which are internally connected provide pathways for reactive species to enter into the porous network. Reactions of O atoms
during plasma cleaning with the CHx groups can increase the k value of the material by removing C atoms. VUV photons which penetrate into the low-k material can also play a role in the scission of Si-CH3
bonds and thus promote removal of -CH3 groups. Plasmas in He/H2 mixtures can clean the CFx polymers while etching -CH3 sites at a lower rate. Therefore, He/H2 plasma cleaning may be capable of removing these CFx residues without harming the underlying low-k surface. In this paper, results from
computational comparisons of plasma cleaning of porous SiOCH and possible damage in He/H2 and
Ar/O2 plasmas are discussed.
Keywords Porous low-k, Demethylation, Interconnectivity
1. Introduction
The low dielectric constant (low-k) and
low capacitance of porous materials used for
the inter-layer dielectric reduces signal propagation delays in integrated circuits. Typical
low-k materials include SiO2 with methyl
groups (CH3) lining the pores – SiCOH. Generally, fluorocarbon plasmas are used to etch
porous SiOCH, a process that deposits CFx
polymers on the sidewalls of features and inside pores. The CFx polymer must be cleaned
as these fluorocarbon compounds cause compatibility issues in future process steps. O2
plasmas are often used for such cleaning due to
the efficiency of oxidation of the polymer.
However, O2 plasma cleans can also remove
hydrophobic methyl groups in the SiCOH, replacing them with hydrophilic groups (such as
-OH) that increase the dielectric constant.[1] It
has been reported that the low-k SiOCH is relatively stable when H2 plasmas are used for
cleaning.[2] The addition of He to the H2
plasma also aids in preconditioning the surface
to improve pore sealing in subsequent treatment using NH3 containing plasmas.
In this paper, we discuss and compare
results from a computational comparison of
cleaning of porous SiCOH using Ar/O2 and
He/H2 plasmas. The Hybrid Plasma Equipment
Model (HPEM) was used to obtain the ion energy and angle distributions of reactive fluxes
from inductively and capacitively coupled
plasmas.[3] These were used as input to the
Monte Carlo Feature Profile Model (MCFPM)
to predict profiles and composition of the low-k
materials.[4] Damage of the porous SiOCH was
characterized by the depth at which removal of
–CH3 is observed. For pores which are not in
the line-of-sight to the plasma, diffusion of reactive species into the porous SiOCH is required
for damage to occur (in the absence of VUV/UV
photons). Results will be discussed, including
validation, for the cleaning of pores as a
function of treatment time and interconnectivity
of the pores. Surface reaction mechanisms in
Ar/O2 and He/H2 plasmas will be discussed.
2. Surface Reaction Mechanisms
When SiOCH is processed in an O2 containing plasma, O atoms produced in the plasma
can abstract H from Si-CH3 leaving Si-CH2. O
can also break the Si-C bond of Si-CH2 that
eventually leads to the formation of CO and
H2O leaving behind an unpassivated Si atom on
the surface. O and photons can also directly
break the Si-C bond in Si-CH3 leading to oxidation and the removal of the C atom as CO/CO2.
Methyl group removal or demethylation process
in O2 plasmas can be summarized as [5],
O + Si-CH3(s)  Si-CH2(s) +OH,
(1)
O + Si-CH3(s)  Si(s) + -CH3(s) + O,
(2)
h + Si-CH3(s)  Si(s) + -CH3(s),
(3)
O + Si-CH2(s)  Si(s) + -CH2O(s),
(4)
O+ -CH3(s)  -CH2O(s) + H,
(5)
O+ -CH2O(s)  CO + H2O.
(6)
He/H2 plasmas remove -CH3 groups
from SiOCH at a slower rate. The reaction responsible for -CH3 removal in He/H2 plasmas
likely produces CH4. However, abstraction of H
from Si-CH3 that forms H2 is also possible. The
reactions can be summarized as [6],
H + Si-CH3(s)  -Si(s) +CH4,
( 7)
H + Si-CHx+1(s)  Si-CHx + H2.
(8)
3. Plasma Properties
For purposes of this investigation, plasma cleaning processes were modeled as performed in inductively coupled plasmas. The test
reactor treated a wafer 15 cm in diameter. The
reactor was 26 cm in diameter with a wafer-tocoil height of 10 cm. (See Fig. 1. [7]) The conditions for both Ar/O2 and He/H2 plasma treatments were 10 mTorr with a flow rate of 100
sccm. The coil delivered 300 W at 10 MHz.
The amplitude of the 10 MHz rf bias waveform
at the electrode was varied. For Ar/O2 plasmas,
the total O density was 6  1013 cm-3 and total
ion density was 2  1011 cm-3. Due to a 20V
substrate bias ions (total flux 8  1015 cm-2s-1)
Figure 1 - Plasma reactor for both He/H2 and Ar/O2 plasma
processing. Plasma properties are shown for He/H2 plasmas
(left) H atom density (maximum density 2  1013 cm-3) with
rarefaction in the middle due to gas heating. (right) Total ion
density (maximum density 5  1010 cm-3).
had an energy near 15 eV. Dominant agent for CH3 removal reaction, O atoms had a flux close
to 1018 cm-2s-1. In He/H2 plasmas, the total ion
density was 5  1010 cm-3 while the H atom had
a maximum density of 2  1013 cm-3, as shown
in Fig. 1. The ion fluxes (1016 cm-2s-1) largely
responsible for the PR removal have an average
energy near 25 eV and an angular spread from
the vertical of < 150. The H** (hot H atom)
portion of the total H flux of 8  1017 cm-2s-1 has
a nearly isotropic angular distribution and with a
temperature in excess of 1000 K. With a 20 V
rf bias, the average energy for ions incident on
Figure 2-Demethylated depth in the low-k SiOCH when
cleaned in Ar/O2 plasmas for trench sidewall and top flat
surface.
Figure 4-Demethylation depth as a function of photon flux.
Figure 3-Demethylation depth as a function of interconnectivity.
the substrate is near 30 eV with an angular
spread from the vertical of < 150.
Trenches were etched into SiOCH with a
capacitively coupled plasma using an
Ar/C4F8/O2 = 80/15/5 gas mixture at 40 mTorr.
The end result includes a CFx polymer layer,
approximately 1.5 nm thick, on the etched SiOCH surface. This layer is removed during the
cleaning process.
4. Demethylation
In Ar/O2 plasmas, we found that demethylation (removal of -CH3 group from SiO2
in porous SiCOH) is a diffusion dominated process, as suggested in Ref. [1]. The maximum
depth of demethylation in the low-k material is
linear with time at short times and scales with
t1/2 at longer times, as shown in Fig. 2, which is
consistent with experimental results.[1] Pores
which are open or line-of-sight connected to the
plasmas have their –CH3 more rapidly removed.
Interconnected pores deeper into the material
are demethylized only after diffusion of O atoms through the porous network. The demethylization depth is larger for flat surfaces than
for the sidewalls of trenches etched in fluorocarbon plasmas, as shown in Fig. 2. This is due,
in part, to the additional role that directional
ions play in the demethylation process, making
a larger contribution to horizontal surfaces.
There is also a time-lag issue. There is additional time required by the dominant demethylation agent O to statistically enter into pores at
the bottom of the trench which may result in a
reduced demethylated depth.
5. Dependence on Interconnectivity
With high interconnectivity comes longer average pathways for the diffusion of O and
O2 into the SiOCH. This ultimately produces
more damage (demethylation) in the porous material compared to a lower interconnectivity.
For example, demethylation as a function of interconnectivity during Ar/O2 plasma cleaning is
shown in Fig. 3. One of the products of O-atom
reactions with -CH3 is OH, which itself can react with the SiOCH, which tends to perpetuate
the demethylization process. During He/H2
plasma cleaning, reactive H atoms also diffuse
inside the material. However the reactions of H
atoms with SiOCH is slower and produce less
volatile and reactive products.[6] As such, demethylization depths with interconnectivity in
He/H2 plasma are smaller.
6. Photon Fluxes
Photons which penetrate deeply into the
SiOCH can also cause Si-C bond scission and
generate -CH3 radicals on the surface of pores.
These groups are further attacked by O/O2 or H
to produce volatile CO/CO2 or CH4 to complete
the demethylation process. As such, presence of
UV/VUV photons in the plasma can significantly increase the demethylation rate. The 130 nm
photons from O atoms in in Ar/O2 plasmas,
have a penetration depth of 100 nm into SiOCH. The demethylation depth increased linearly from 10 nm to 18 nm while the photon flux
increased from 0 to 1014 cm-2s-1 as shown in Fig.
4. However, in He/H2 plasmas, photons (< 100
nm) can penetrate only 10 nm and so these
fluxes do not significantly influence the demethylation process.
7. Comparison: Ar/O2 and He/H2
A fluorocarbon plasma etched trench in
porous low-k SiCOH is shown in Fig. 5a. CFx
polymers from the sidewalls are then removed
in Ar/O2 and He/H2 plasmas. During the
overetch required to remove all of the CFx polymer, O2 containing plasmas caused significant
demethylation by removing -CH3 groups, as
shown in Fig. 5b. (Damage is shown as pink
sites indicating an SiO2 site that has lost -CH3.)
On the other hand, H radicals remove -CH3 less
aggressively in large part because hot H atoms
are required for efficient removal of -CH3, and
as H atoms diffuse into the pores, they thermalized and so lose reactivity. However, during
He/H2 plasma cleaning, Si-C bond scission
eventually leads to the formation of =Si-Hx
products. He/H2 plasma cleaned porous SiCOH
is shown in Fig. 5c. We found the depth of
damage (-CH3 removal or modification depth)
for Ar/O2 plasma treatment is 3-5 times larger
than He/H2 plasma cleaning. The etch rate of
low-k in Ar/O2 plasmas has been reported to be
Figure 5-A trench etched in porous SiCOH in fluorocarbon
plasma. a) After etching with CFx polymer on the sidewalls.
b) Masking PR and CFx polymers are removed in an Ar/O2
plasma. (c) Similar cleaning when He/H2 plasma is used.
3 times higher than in Ar/H2 plasmas.[6] Approximately 5 times more C atoms are pertained
in surface layers after Ar/H2 plasma treatment
compared to Ar/O2 plasma treatment. Also,
based on the H of the reaction, -CH3 removal
by O atoms is more than two times energetically
favorable compared removal by H atoms.[6]
These collective observations support our findings that Ar/O2 plasmas cause 3-5 times deeper
damage compared to He/H2 plasmas in porous
SiOCH.
8. Concluding Remarks
We computationally investigated and
compared Ar/O2 and He/H2 plasma cleaning of
porous low-k SiOCH. Interconnected pores can
offer pathways into the interior of the SiOCH
for reactive O/O2 species to damage the SiCOH
by removing -CH3 groups. H2/He plasmas can
remove the PR and polymer without causing
significant demethylation. As such, a low-k
with high porosity but low interconnectivity,
cleaned in He/H2 plasmas may be beneficial to
maintain low-k integrity.
This work was supported by the Semiconductor Research Corp.
References
[1] M. A. Goldman, D. B. Graves, G. A. Antonelli, S. P. Behera, and J. A. Kelber, J.
Appl. Phys. 106, 013311 (2009).
[2] D. Shamiryan, M. R. Baklanov, S.
Vanhaelemeersch, and K. Maex, J. Vac. Sci.
Technol. B 20, 1923 (2002).
[3] M. J. Kushner, J. Appl. Phys. 94, 1436
(2003).
[4] A. Agarwal and M. J. Kushner, J. Vac. Sci.
Technol. A 27, 37 (2009).
[5] M.F.A.M. van Hest, A. Klaver, D.C. Schram,
and M.C.M. van de Sanden, Thin Solid
Films 449 40 (2004).
[6] M. A. Worsley, S. F. Bent, S. M. Gates, N.
C. M. Fuller, W. Volksen, M. Steen and T.
Dalton, J. Vac. Sci. Technol. B 23(2), 395
(2005).
[7] J. Shoeb and M. J. Kushner, IEEE Trans.
Plasma Sci.(accepted for publication).