review article - IREAP - University of Maryland

REVIEW ARTICLE
Plasma-polymer interactions: A review of progress in understanding polymer resist
mask durability during plasma etching for nanoscale fabrication
Gottlieb S. Oehrleina兲
Department of Material Science and Engineering and Institute for Research in Electronics and Applied
Physics, University of Maryland, College Park, Maryland 20742
Raymond J. Phaneuf
Department of Material Science and Engineering and Laboratory for Physical Sciences, University of
Maryland, College Park, Maryland 20742
David B. Graves
Department of Chemical Engineering, University of California, Berkeley, Berkeley, California 94720
共Received 20 September 2010; accepted 6 December 2010; published 14 January 2011兲
Photolithographic patterning of organic materials and plasma-based transfer of photoresist patterns
into other materials have been remarkably successful in enabling the production of nanometer scale
devices in various industries. These processes involve exposure of highly sensitive polymeric
nanostructures to energetic particle fluxes that can greatly alter surface and near-surface properties
of polymers. The extension of lithographic approaches to nanoscale technology also increasingly
involves organic mask patterns produced using soft lithography, block copolymer self-assembly, and
extreme ultraviolet lithographic techniques. In each case, an organic film-based image is produced,
which is subsequently transferred by plasma etching techniques into underlying films/substrates to
produce nanoscale materials templates. The demand for nanometer scale resolution of image
transfer protocols requires understanding and control of plasma/organic mask interactions to a
degree that has not been achieved. For manufacturing of below 30 nm scale devices, controlling
introduction of surface and line edge roughness in organic mask features has become a key
challenge. In this article, the authors examine published observations and the scientific
understanding that is available in the literature, on factors that control etching resistance and
stability of resist templates in plasma etching environments. The survey of the available literature
highlights that while overall resist composition can provide a first estimate of etching resistance in
a plasma etch environment, the molecular structure for the resist polymer plays a critical role in
changes of the morphology of resist patterns, i.e., introduction of surface roughness. Our own recent
results are consistent with literature data that transfer of resist surface roughness into the resist
sidewalls followed by roughness extension into feature sidewalls during plasma etch is a formation
mechanism of rough sidewalls. The authors next summarize the results of studies on chemical and
morphological changes induced in selected model polymers and advanced photoresist materials as
a result of interaction with fluorocarbon/Ar plasma, and combinations of energetic ion beam/vacuum
ultraviolet 共UV兲 irradiation in an ultrahigh vacuum system, which are aimed at the fundamental
origins of polymer surface roughness, and on establishing the respective roles of 共a兲 polymer
structure/chemistry and 共b兲 plasma-process parameters on the consequences of the plasma-polymer
interactions. Plasma induced resist polymer modifications include formation of a thin
共⬃1 – 3 nm兲 dense graphitic layer at the polymer surface due to ion bombardment and deeper-lying
modifications produced by plasma-generated vacuum ultraviolet 共VUV兲 irradiation. The relative
importance of the latter depends strongly on initial polymer structure, whereas the ion bombardment
induced modified layers are similar for various hydrocarbon polymers. The formation of surface
roughness is found to be highly polymer structure specific. Beam studies have revealed a strong
ion/UV synergistic effect where the polymer modifications introduced at various depths by ions or
ultraviolet/UV photons can interact. A possible fundamental mechanism of initial plasma-induced
polymer surface roughness formation has been proposed by Bruce et al. 关J. Appl. Phys. 107, 084310
共2010兲兴. In their work, they measured properties of the ion-modified surface layer formed on
polystyrene 共PS兲 polymer surfaces, and by considering the properties of the undamaged PS
underlayer, they were able to evaluate the stressed bilayer using elastic buckling theory. Their
a兲
Author to whom correspondence should be addressed; electronic mail: [email protected]
010801-1 J. Vac. Sci. Technol. B 29„1…, Jan/Feb 2011
1071-1023/2011/29„1…/010801/35/$30.00
©2011 American Vacuum Society 010801-1
010801-2 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
010801-2
approach was remarkably successful in reproducing the wavelength and amplitude of measured
surface roughness introduced for various ion bombardment conditions, and other variations of
experimental parameters. Polymer material-dependent VUV modifications introduced to a depth of
about 100 nm can either soften 共scission兲 or stiffen 共cross-linking兲 this region, which produce
enhanced or reduced surface roughness. © 2011 American Vacuum Society.
关DOI: 10.1116/1.3532949兴
I. INTRODUCTION
Photolithographic image formation in a photosensitive
polymer followed by plasma-based transfer of the defined
photoresist patterns into other materials has been remarkably
successful in enabling the production of micro- and
nanometer-scale devices for the information technology,
magnetic storage, microsystems, and other current technologies requiring patterned films or substrates.1 The realization
of patterning smaller features in the semiconductor industry
has followed Moore’s law.2 This required a continuous evolution of the patterning techniques needed to fabricate devices, and necessitated the development of new photolithography systems and appropriate photoresist materials, along
with advanced plasma etching equipment and processes. For
instance, the ability to manufacture semiconductor devices
with critical dimension 共CD兲 of 45 nm has been possible
because of the use of ArF-excimer laser 共193 nm兲 lithography and new photoresist systems that replaced those for KrFexcimer laser 共248 nm兲 lithography.3,4 At this time, 193 nm
immersion and extreme ultraviolet 共EUV兲 lithography are
being developed to enable the production of devices with
CDs of 30 nm and below. Next to these advanced photolithography approaches, G line 共436 nm兲 and I line 共365 nm兲
lithographies based on Hg discharge lamp emission are important for realizing larger scale structures.5,6
The need to change resist materials has introduced challenges for pattern transfer by plasma etching. This article is
focused on plasma-polymer interaction mechanisms during
pattern transfer by plasma etching, although the considerations and insights presented are also applicable to other applications requiring interactions of plasma with polymers. As
we approach manufacturing of below 30 nm scale devices,
gaps in our scientific understanding of plasma-polymer interactions become an important limitation in identifying efficiently solutions to important problems. For instance, the
prevention of plasma-process introduced surface and line
edge roughness in organic mask features is a representative
problem of this kind.
A. Challenges of plasma-resist interactions for
nanometer scale pattern transfer
Polymer materials interacting with plasma are exposed to
fluxes of ions, reactive and energetic neutrals, electrons, and
photons—including ultraviolet 共UV兲 and vacuum ultraviolet
共VUV兲 photons. Figure 1 shows a highly simplified diagram
of a 30 nm wide line consisting of polymer molecules and
corresponds to critical dimensions currently being used by
the semiconductor industry 共a more realistic representation
J. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
would consider polydispersity, the fact that the polymer molecules do not form discrete, well ordered domains of uniform
size, but instead will be intermixed, and also show that size
and/or composition may vary, depending on proximity to the
irradiated area兲. Depending on molecular weight 共MW兲 and
MW distribution, typical polymer molecules occupy roughly
a sphere of 3–5 nm diameter. Therefore, about 6–10 polymer
molecules will define the width of an organic mask that is 30
nm wide. A representative thickness of ⬃150 nm for a resist
mask corresponds to about 30–50 polymer molecules.
Photoresist materials utilized for 193 nm lithography
along with electron-beam resists such as polymethylmethacrylate 共PMMA兲 that are often unstable in the plasma
etch 共PE兲 environment.7,8 Interactions of polymers with radiation environments can lead to large changes of the polymer materials and three-dimensional nanostructures made of
these, including alterations in macromolecular structure, elemental composition, and concomitant changes in nanoscale
surface morphology driven by high local stress-levels due to
densification, e.g., in the surface/near-surface region, and
possibly other responses to reduce free energy.9 If the mask
deforms during etch processing, changes in the nanoscale
topography of device features produced by plasma-based
pattern transfer take place, often with undesirable consequences, e.g., reduced electrical performance.8,10
FIG. 1. 共Color online兲 Simplified schematic of a 30 nm wide line formed by
resist molecules. On the top of the line, about 6 molecules 共⬃5 nm diameter兲 cover the distance from one side of the line to the other. The minimum
spatial extent of the plasma modified region—considering ions 共⬃100 eV
energy兲 and neutrals n—is depicted. Plasma-generated UV irradiation is also
shown and will penetrate the polymer to an energy dependent that is 10 s of
nm. The level of control needed for nanoscale manufacturing is of the order
of both macromolecular and ion and neutral length scales. Lack of control of
the interaction of the plasma with the polymer molecules of the resist will
produce important profile imperfections.
010801-3 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
Control of the plasma-polymer surface interactions is essential for accurate pattern transfer by plasma etching processes, and aimed at preventing the introduction of irregular
feature profiles, including microtrenching, bowing, surface/
line edge roughening, and so forth.7,8,11,12 While such pattern
transfer problems are seen for many materials, introduction
of surface/line edge roughening appears to be highly specific
to plasma-surface interactions with particular polymer materials.
The ion, electron, neutral, and photon fluxes produced in
the plasma and incident on the polymer can modify the polymer properties at the top and sidewall of organic mask features, either dominantly by one type of species or often
through synergistic interactions of multiple species. The
near-surface chemical and structural properties are altered by
the interaction of incident energetic ionic and thermal neutral
species with substrate atomic constituents. The depth of the
altered surface region is at the minimum dominated by the
penetration depth of energetic ions. For example, as will be
discussed below, argon ions with a kinetic energy of 100 eV
impacting polymer surfaces at normal incidence create a disordered amorphous carbon region to a depth of about 2 nm.
Complex effects are seen when polymer surfaces are exposed
to chemically active plasmas. Formation of an intricate, layered near-surface region several nanometers in depth takes
place in that case, while steady-state etching reduces the
thickness of the unmodified polymer underneath 共see below兲.
The spatial extent of the ion and neutral modified region of
organic materials at typical energies used for plasma
etching11 is for well selected process conditions comparable
to the diameter of a polymer molecule. For plasma processes
not tuned to the polymer structure, it can be significantly
larger. For instance, energetic photons can, depending on
photon energy, strongly modify polymer properties to a
depth of the order ⬃100 nm and more.13–17 The relative importance of this interaction and the penetration depth are
highly polymer specific and reflect the wavelength dependence of the absorption coefficient. The type of plasmapolymer interactions also differ between the top of the mask
共ion bombarded, VUV radiation exposure, and neutral arrival兲 and the sidewall 共primarily neutral arrival along with
VUV illumination兲. The modified depth also changes with
the ion/neutral ratio of the discharge. For poorly controlled
plasma-polymer interactions, the shape of etched features
can be degraded due to surface roughening and line edge
roughening of the mask pattern during plasma processing.
This may lead to unacceptable changes in the dimensions
and properties of device features produced by the plasmabased pattern transfer. These complex interactions have important consequences with regard to our ability to form
three-dimensional nanoscale structures using polymer templates and limit control of the feature profiles that can be
produced near minimum lithographic feature sizes.
The 2009 edition of the International Technology Roadmap for Semiconductors2 specifies that for the 22 nm critical
dimension technology node under development, the maximum standard deviation of the widths of the lines is 0.9 nm
JVST B - Microelectronics and Nanometer Structures
010801-3
共3␴兲 and line width roughness is 1.2 nm 共3␴兲. Figure 1 illustrates that the overall level of control needed, i.e., overlay
accuracy, line width roughness, and so forth, is comparable
to or smaller than the macromolecular length scale and the
minimum length scale of material modifications due to
plasma surface interactions. Achieving this level of control
requires a detailed understanding of 共a兲 the macromolecular
factors that govern chemical and morphological stability of
specific polymer molecules and polymer nanostructures inserted in particular plasma environments used for pattern
transfer and 共b兲 how changes in the microscopic plasmaprocess parameters, e.g., ion composition, ion energy, ion/
neutral ratio, and VUV energies and fluxes, affect plasma
stability for given polymers.
This article is organized as follows: After a review of
literature on this topic, we will summarize the results of selected studies by the authors and their collaborators aimed at
identifying mechanistic origins of the chemical and morphological changes induced in selected model polymers and advanced photoresist materials as a result of interaction with
fluorocarbon/Ar plasmas. We will highlight modifications of
polymer materials/patterns that take place at the beginning of
the plasma-polymer interaction period. The respective roles
of 共a兲 polymer structure/chemistry and 共b兲 plasma-process
parameters on the compositional, structural, and morphological consequences of the plasma-polymer interactions will be
examined. The plasma studies are complemented by vacuum
beam studies and molecular dynamics simulations of plasma/
materials interactions.
Questions we will address in this review are as follows:
What are the respective roles of 共a兲 polymer composition and
molecular structure and 共b兲 microscopic plasma-process parameters, e.g., fluxes and energy densities of ions, UV, reactive or energetic neutrals, and electrons in enabling or degrading polymer resist stability in the plasma environment?
How well can current models of plasma etching resistance of
photoresist polymers reproduce experimental observations?
What is the origin of plasma-induced surface roughness 共SR兲
and line edge roughness? Which factors control plasmainduced roughening of a specific polymer? What are the
critical parameters that describe these interactions and how
can they be measured and/or simulated?
II. BRIEF REVIEW OF PHOTORESIST „PR…
MATERIALS, PROCESSING, AND ISSUES WITH PR
MASK PLASMA ETCHING RESISTANCE
A. Resist materials and considerations for pattern
transfer
The primary design criteria of advanced photoresist development has been to identify organic materials of high
transparency at the lithographic wavelength that provide
good contrast and image quality using standard
processing.18–20 High UV transparency of the PR polymer
guarantees that a film of a finite thickness—up to 600 and
300 nm for 248 and 193 nm wavelengths, respectively—can
be completely exposed. As a transition from one lithographic
010801-4 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
FIG. 2. 共Color online兲 Polymer structures used for 共a兲 193 nm and 共b兲 248
nm photoresists, respectively 共from Ref. 21兲.
wavelength to the next is made, new photoresist materials
need to be developed, with new sets of functional units.
Current chemically amplified UV photoresist systems
consist of several major functional units 共see Fig. 2兲.1,4,21,22 A
polymer forms the resist backbone connecting the acid
group, the protecting group, and units that provide adhesion,
enhance resolution, and etching resistance.22,23 For instance,
the 193 nm photoresist polymer shown in Fig. 2共a兲 is based
on a ter-polymer structure important for methacrylate photoresists. The leaving group polymer allows switching the PR
polarity from an insoluble state into an aqueous base soluble
state. The lactone group improves PR solubility after exposure, but the high oxygen content of the lactone group can
reduce plasma etch resistance.24 The polar group consists of
an R-functionalized adamantane structure and improves the
base solubility of the PR. Photoacid generator 共PAG兲 and
base are additional components of actual 193nm PR systems.
In Fig. 2共b兲, a 248 nm photoresist polymer based on hydroxystyrene, styrene, and t-butylacrylate is shown for comparison, and was used in some of the work described below.
The polystyrene-derived PR contains a relatively low concentration of ester linkages as compared to the 193 nm PR. A
major change in polymer structure between the two PR polymers is the lack of aromatic moieties in the 193 nm PR
polymer. The reason is that the C v C double bonds of the
aromatic ring used in the polyhydroxystyrene photoresist
system are too absorbing at a wavelength of 193 nm and
preclude their use in 193 nm PR.3
Surface roughness 共SR兲 and line edge roughness 共LER兲
have been widely studied for photoresist materials and nanostructures. The majority of the work on LER has focused on
the state prior to plasma etching. For lithographic image formation, line width roughness 共LWR兲 is the standard deviation of the CD associated with the line width, whereas LER
corresponds to the standard deviation of the line edge on one
side. Numerous resist material and resist processing aspects
J. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
010801-4
can contribute to surface and line edge roughness. For instance, SR and LER features have been attributed to resist
aggregates segregating to the surfaces,25,26 phase separation
of protected and unprotected regions of the photoresist at the
surface and sidewall,27 and a variety of other factors including MW and MW distribution, and statistical effects during
the catalyzed deprotection step and during dissolution of the
relief image.1,28–33
When 193 nm PR materials were introduced for nanofabrication, plasma etching resistance of resist materials and
thus mask durability emerged as an important issue. The
problem was aggravated by the fact that the film thickness of
resist masks for nanoscale features produced by UV lithography had to be reduced. High-resolution pattern transfer by
plasma etching requires control of ion bombardment to
achieve the profile and selectivity goals of the process. This
article addresses plasma-surface interactions relevant to
transfer of resist patterns into underlying films or substrates,
and our focus will be on a discussion of surface and materials characterization results obtained when interacting selected gas discharge environments with different polymer
materials. Mask image transfer into an underlying material
necessitates energetic ion bombardment 共⬃100 eV兲 of the
polymer, along with exposure to reactive neutrals such as F,
CFx, Cl, and Br, at fairly low operating pressure
共⬃10– 60 mTorr兲 to avoid ion-neutral collisions in the
sheath and, to prevent degradation of resist patterns, use of a
substrate temperature near 30 ° C or lower.34 The direct contact of the polymer with the plasma will subject the polymer
mask also to irradiation with energetic photons and electrons
from the plasma. For resist mask stripping, often a very different plasma-resist interaction environment is used. For instance, O2-based plasma ashing 共stripping兲 approaches of
photoresist masks have been developed and optimized to
cleave the resist’s carbon bonds and produce volatile reaction
products in a charge-free environment. This is accomplished
by heating the polymer to a temperature in excess of 200 ° C
and exposing the material to long-lived reactive neutrals produced in a remote plasma source.35–37 This kind of plasmapolymer interaction will only be addressed in passing.
High- and medium-density plasma etching reactors working at a pressure of 10–100 mTorr make it possible to produce highly directional etching profiles and control feature
size dependent etching.38,39 Improved control of plasmasurface interactions in such systems is possible by employing
two rf power supplies. This enables separation of management of plasma density and molecular dissociation from ion
energy control. The plasma density is tailored by control of
the source power level, e.g., an inductive source for inductively coupled plasma,40–42 or a high frequency source for a
dual frequency capacitively coupled plasma system,43–46
whereas the average ion bombardment energy is controlled
by adjusting the rf bias voltage applied to the substrate electrode.
Gas discharges interacting with polymers can give rise to
different etching phenomena. For plasma etching, a reactive
gas is chosen so that reaction of it, or its fragments, with the
010801-5 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
polymer material will form volatile molecular products.47,48
Ion bombardment of the sample is not necessary, although it
can be present. A measure of the maximum energy of bombarding ions is provided by the self-bias voltage which, if
available, will be presented in this article. The ion-assisted
component of plasma etching is referred to as ion-enhanced
etching and usually involves the formation of new molecular
species with high vapor pressure that leave the surface with
thermal energy. For a polymer this may include the chain
scission of low vapor pressure long chain polymers to produce high vapor pressure products, e.g., CH4, or short chain
polymers that subsequently leave the surface by thermal desorption. One mechanism of ion-enhanced etching is chemical sputtering where ion bombardment causes a chemical
reaction, which produces products that are weakly bound to
the surface and easily desorb.49,50 For instance, for polymers,
ion-enhanced etching can be characterized by very large etch
yields. Finally, physical sputtering involves energetic ion
bombardment of the sample and results in the ejection of
usually atomic species with excess kinetic energy and a
strong dependence on the angle of incidence 共see Refs. 51
and 52 for reviews兲. Typically this is performed in noble gas
environments, and etch yields are low.
B. Models of plasma etching resistance of advanced
photoresists
During resist pattern transfer by plasma etching, interaction of the plasma-generated species with the organic molecules representing the image can produce chemical, morphological, and topographic changes, either in the
macromolecules themselves and/or macromolecule defined
nanoscale features. For the ter-polymers shown in Fig. 2, the
simplest polymer modification mechanisms could be the removal of side chains, or with respect to the polymer chain,
both main chain scission or cross-linking reactions. While
ion-induced near-surface processes may be similar for polymers with related composition, the high molecular selectivity
of photon-induced processes may be expected to initiate degradation mechanisms that will be strongly characteristic of
the detailed polymer structure.
Current models of PR plasma etching resistance primarily
consider etching and mask thinning by the plasma, and
roughly can be grouped in two types. Most polymer etch
models used in the PR chemistry/lithography community focus on the effect of the polymer stoichiometry on plasma
etch rate for fixed plasma-process conditions.53–63 Polymer
etching models used in the plasma etch community typically
focus on accurately treating plasma gas phase, sheath, and
surface processes, while often not considering in detail composition and molecular structure of the resist polymers. Several key references will be discussed below.
An early study by Pederson62 reported etching behavior of
various polymers in CF4 / O2 discharges. The work was performed using a high pressure, barrel-type capacitively
coupled plasma etching system, with electrodes located at
the top and bottom of the chamber and powered using 13.56
MHz. The electrodes were positioned between the chamber
JVST B - Microelectronics and Nanometer Structures
010801-5
TABLE I. Etch rates of various polymers in CF4 / 8%O2 using a capacitively
coupled plasma system 共from Ref. 62兲. Conditions: Barrel-type capacitively
coupled plasma etching system, electrodes located at the top and bottom of
the chamber, 200W of 13.56 MHz power, chamber pressure of 0.55 Torr,
and 15 ml/min gas flow rate.
Polymer
Glassy carbon film
Diethynylbenzene
Az resist composed of a diazo-quinone
in a phenol-formaldehyde resin
共manufactured by Shipley Company Inc.兲
Polycarbonate 共Lexan兲
Poly-共2 , 6 = diphenyl phenyleneoxide兲
共PPO兲
Polystyrene
Polyvinyl carbazole
Polyvinyl phenol
a-Me styrene/isopropenylcyclohexane
copolymer
Polyvinylidene fluoride
Polyimide 共Kapton兲
Polyurethane oxime
Terpolymer resist共poly methyl methacrylatemethacrylic acid-methacrylic anhydride兲
共MMA-MAA-MAAn兲
Poly pivalolactone
Plasma deposited fluoropolymer
Copolymer 共MMA-MAA兲
Cellulose
Etch rate
共nm/min兲
Tg
共K兲
⬍5
40
Not provided
Not provided
71
75
Not provided
418
73
65
63
66
493
373
473
413
90
125
130
⬎170
440
233
773
Not provided
240
⬎240
⬎240
⬎320
⬎700
393
511 MP
Not provided
Not provided
Not provided
wall and A1 screens, which were assumed “to provide the
effect of a Faraday cage thereby limiting the concentration of
ionic species in the etching zone so that neutral free radicals
are predominant.”62 The operating conditions were 200W rf
power, chamber pressure of 0.55 Torr, 15 ml/min flow rate,
of CF4 / 8% O2. Pederson was able to make a number of
important observations that were confirmed in subsequent
work 共see Table I兲: 共a兲 polymers with aromatic structure were
more stable and etched at a rate of 0.25–0.5 of nonaromatic
polymers; 共b兲 etch rates of polymers were not directly related
to the thermal stability of the materials 共this was concluded
from a comparison of etching rates and glass transition temperatures of the compounds. The comparison revealed that
materials like polyimide and pivalolactone polymers with
high thermal stability nevertheless showed etching rates
greater than some materials with lower thermal stability兲; 共c兲
the etch rates were roughly in accord with the susceptibility
of the polymer to chain scission by high energy irradiation
共he pointed out that the G scission value for cellulose with an
ER⬎ 700 nm/ min is ⬃10, while diethynylbenzene polymer
with an ER⬃ 40 nm/ min undergoes cross-linking instead兲.
From comparison of etching behavior of different polymers
and polymer structures, he concluded that the aromatic structure could be present in either the side chain or the backbone
and have a similar stabilizing effect. The lowest etch rates
were seen for glassy carbon films with a planar aromatic
structure. One exception from the rule of low etching rates
010801-6 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
for aromatic polymers was seen for polyimide. This material
not only contains aromatic structure in the main chain but
also oxygen and nitrogen.
Pederson’s findings are consistent with many subsequent
investigations that showed 共1兲 the more aromatic moieties a
resist polymer consists of and 共2兲 the more carbon atoms a
polymer contains in a monomer relative to other atoms 共H,
O, N, etc.兲 that may easily form volatile products, the more
etch resistant the resist polymer. With respect to the stability
of aromatic polymers in radiation environments, Reichmanis
et al.64 noted a “substantial intramolecular protective effect
by phenyl groups in polymers” as “demonstrated by the low
G values for H2 formation and cross-linking in polystyrene
共substituent phenyl兲, polyarylene sulfones 共backbone phenyl兲.” Here G value denotes the yield of a particular process
for a given energy deposited by radiation in the polymer.
The Ohnishi model53 and the ring model54 are two widely
used models of resist material etching resistance that correlate either empirical formulas of polymer composition or the
influence of the aromatic moiety with measured etch rates.
The Ohnishi model53 is based on the observation that an
increase in the oxygen content of resist materials increases
the resist etching rate. The Ohnishi parameter OP is defined
as the total number of atoms Ntot over the number of carbon
atoms NC minus the number of oxygen atoms NO in a monomer unit
OP =
Ntot
.
NC − NO
共1兲
For instance, a survey of different oxygen-containing
polymers showed that polymer etch rate in the plasma environment increased with OP.53 A linear increase of the polymer ER with OP was seen according to
ER共OP兲 = ER0 ⫻ OP
共2兲
with ER0, the etch rate of comparable polymers without oxygen. Indeed, while measured resist etching rates for given
plasma operating conditions often correlate with the Ohnishi
parameter for different resists, in many situations the degree
of correlation between resist etching rate and Ohnishi parameter proved to be poor.65,66 The experimental work on which
this relationship is based was performed using Ar discharges
interacting with polymers.53 For this situation, a linear increase of the etching rate 共etching yield兲 with OP is plausible
as will be discussed in more detail below. For chemically
reactive discharges, this kind of relationship is not necessarily expected 共as will be discussed below兲. The Ohnishi model
makes no statement on changes in resist etching behavior as
plasma operating conditions are changed.
Based on the insights obtained by Pederson62 and others,
efforts to increase etching resistance of resist polymers often
use the incorporation of aromatic groups, e.g., copolymerization. This was investigated by Anderson and Rodriguez63
who examined the influence of copolymer composition for
aromatic
derivatives
of
itaconic
acid
and
poly-␣-methylstyrene on dry etching resistance of these materials in CF4 / O2. They also measured chain scissioning
J. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
010801-6
yield G for these materials under electron-beam irradiation.
With the incorporation of aromatic rings, etch rates and G
values decreased. Anderson and Rodriguez63 concluded that
the specific structure of the aromatic monomer was unimportant, i.e., incorporation in the main chain or in a side group
ester were similarly effective. Addition of fullerenes to increase plasma etch resistance has also been described.67
Other approaches are based on incorporation of inorganic
materials, e.g., by ion implantation, or treatment with metal
containing solvents.63 Of these, the incorporation of Si into
organic polymers has become a very important approach and
will be briefly discussed at the end of Sec. II C.
The observation that polymers containing aromatic rings
often exhibit low plasma etching rates, motivated Kunz et
al.54 to develop a relationship between the chemical structure
and the photoresist etching rate. They correlated measured
etching rates of different resists with a ring parameter r. This
is defined as the mass of the resist in the form of carbon
atoms in a ring structure M CR over the total resist mass
M TOT,
r=
M CR
.
M TOT
共3兲
As the ring parameter increases, a decrease of the PR
etching rate was seen.54 In terms of reproducing etching rate
variations, this model is sometimes better and often equivalent to the Ohnishi model for reasons that are unknown.65,66
The role of the aromatic ring may be explained in that the
removal of one carbon atom from an aromatic ring requires
breaking three C u C bonds.67 For polymethylmethacrylate,
methyl groups are bound with a single C u C bond to the
backbone, and C u O bonded species are bound with two
C u C bonds, making the removal of these carbon groups
much easier.67
Other models65,66 that consider both polymer composition
and structure appear more successful than the Ohnishi and
the ring model in describing variations of the photoresist
etching rate as a function of the atomic/molecular environment for particular polymer families. For instance, one
model includes not only polymer composition as done in Eq.
共2兲 but also a polymer structure dependent factor to describe
etching rates.65 Unfortunately, details on the molecular parameters used in these models were not published, and the
etch rate curves for different polymer families show unexplained off-sets. Additionally, the tool and process dependences cannot be predicted. For instance, Cl2 and fluorocarbon etching processes showed opposite trends of the relative
etch rate ratio for selected polymer families. This is likely
due to the fact that processes resulting from the plasma, e.g.,
ion induced damage, fluorocarbon deposition, diffusion of
neutrals, and chain scission, are ignored.
The above models are focused on reproducing resist etching rate trends for plasma operating conditions held constant
as different resist materials are processed. The models do not
attempt to address changes in resist etching rate as a function
of plasma operating conditions or microscopic plasma parameters.
010801-7 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
The effect of the plasma etching conditions on polymer
etch behavior has been studied using a number of
models.68–83 The plasma-resist etching models typically do
not consider changes in the chemistry and morphology of the
macromolecules. Only in selected cases was the impact of
the plasma etching process on topography of nanoscale features considered, e.g., see the work by Guo and Sawin.84–86
C. Surface roughness and line edge roughness
introduced by plasma and energetic beam exposures
1. Energetic beam induced changes of resist
chemistry and surface morphology
Interaction of energetic beams with polymers introduces
important surface and near-surface modifications. The extensive use of PMMA as a resist has led to many investigations
of irradiation effects on this material.87–90 Degradation of
PMMA by deep UV, x-ray, electron, and proton beam irradiations for resist applications has been analyzed by Choi et
al.91 Even though the energies of the radiation sources varied
vastly 共up to 900 keV for H+3 兲, they observed a 1-to-1 correspondence of loss of ester groups and generation of double
bonds in the polymer chains for all radiation types. Structural
modification including chain scission, cross-linking, doublebond formation, molecular emission, changes in molecular
weight distribution, and so forth due to ion irradiation of
polymers have been reviewed by Calcagno et al.92 Besides
cross-linking and chain scission, irradiation of polymers also
often give rise to “small molecule products, resulting from
bond scission followed by abstraction or combination
reactions.”64
Complimentary to this work are studies by Koval,93 who
examined surface effects after low energy Ar ion bombardment of PMMA. For ion bombardment energies of 250 eV,
he argued that during steady-state etching, a four layer structure is produced from the bulk resist. It consists of a graphitized layer of 2 nm thickness, over a 2 nm cross-linked region, above an ⬃2 nm thick low molecular weight region
共scission兲 on unmodified PMMA. By scanning electron microscopy, different 共dose dependent兲 surface topographies
were seen for PMMA surfaces, including waves and bubbles.
The latter were attributed to formation of gaseous products in
the material which, if formed at rates higher than the typical
gas permeation rates through the top graphitized layer, would
produce bubble defects. Prior electron-beam irradiation led
to the formation and removal of gaseous products. During
subsequent ion beam bombardment, bubble formation was
prevented. Koval94 also found that Ar ion 共250–1250 eV兲
bombarded PMMA showed an increase in electrical conductance, which was attributed to the formation of the graphitized surface layer.
The above is an example of “altered layer” formation
typically seen during ion bombardment of compounds and
complex multielement materials.95–98 For a compound, the
near-surface region becomes enriched in the element i with
the smaller sputter yield Y i and depleted in element j with
the higher sputter yield Y j so that in steady-state the sputtered flux corresponds to the bulk material composition. If
JVST B - Microelectronics and Nanometer Structures
010801-7
⌰i, Ci and ⌰ j, C j are fractional surface coverage and bulk
atomic concentrations of elements i, j, respectively, the following relationship expresses the surface enrichment of the
element with the smaller sputter yield:
⌰ iY i ⌰ j Y j
=
.
Ci
Cj
共4兲
In hydrogen-containing plasma environments, or in beam
experiments involving Ar+ ions and H atoms, the hydrogenation of carbon films also can take place, which can increase sputter yields. For instance, interaction of low energy
hydrogen ions or neutral species with dense a-C:H films produces during steady-state erosion a modified layer at the surface that is characterized by a higher H content and lower
atomic density, typical of soft, polymerlike a-C:H films.99–101
Hopf et al.102 observed that the erosion yields were simultaneously enhanced as physical sputter processes seen for Ar+
are replaced by chemical sputter processes for Ar+ / H atom
beam exposures. They explained their data with a model
where Ar+ ion bombardment breaks C bonds within the ion
penetration range, which are passivated by atomic hydrogen
passivation. The subsurface CxHy subsequently can diffuse to
the surface and desorb.
Similar highly cross-linked surface layers as produced by
Ar ion bombardment of PMMA are formed for other polymers, e.g., PS. Tead et al.103,104 observed that diffusion of
deuterated PS in a PS matrix was a sensitive probe of polymer damage. When deuterated PS was treated in reactive ion
beam or plasma etching, diffusion was slowed down by the
damaged surface layer. Additionally, these authors observed
surface wrinkling of the reactive ion beam etched sample if
heated above the glass transition temperature.104 Luckman105
and Pal et al.106 used surface pattern formation 共reticulation兲
on resist surfaces during plasma exposure as a probe of wafer
temperature.
The surface modifications of polymers, e.g., due to crosslinking, by plasma treatment are also strongly reflected in
changes of the nanomechanical properties of polymers.107–111
2. Examples of plasma-induced surface/line edge
roughness in resists
Figure 3 illustrates important problems in the interaction
of etching plasmas with advanced resist materials.7,8,112,113 In
Figs. 3共a兲 and 3共b兲 193 and 248 nm photoresist films, respectively, are shown after a dielectric 共fluorocarbon兲 plasma
etching step in a commercial plasma reactor.7 The plasmasurface interaction produces severe SR for the 193 nm material, whereas the surface of the 248 nm material remains
smooth. The roughened resist surface layer is characterized
by regions of unequal thickness. Ion focusing into the thinner
photoresist regions on the rough surface was mentioned by
the authors as a mechanism that can produce localized etching of the underlayer and create pinholes with a diameter of
about 20 nm.7
At the edge of a resist line, the surface roughness can be
transferred into the underlayer during plasma etching. This
produces line edge roughness of features, superimposed on
010801-8 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
010801-8
FIG. 4. Striation evolution on the sidewall of 193 nm photoresist mask
during pattern transfer using C4F8 / 90% Ar discharges 共from Ref. 116兲: 共a兲
as received, 共b兲 1.6 s, 共c兲 7 s, 共d兲 15 s, 共e兲 29 s, and 共f兲 60 s. Discharges were
generated using 1000 W source power, a pressure of 10 mTorr, and 50
SCCM as total gas flow rate with a fixed bias voltage ⫺125 V.
FIG. 3. Changes of surface and sidewall morphology of resist materials and
structures. 共a兲 Morphological changes introduced in the surface of a 193 nm
photoresist by a fluorocarbon plasma process, where the same process leaves
the surface of a 248 nm photoresist 共b兲 undamaged 共from Ref. 7兲. The image
in 共c兲 shows a roughened polymethylmethacrylate resist mask used to produce 50 nm wide trenches in silicon dioxide 共from Ref. 8兲. Side and top
view SEM images of high-aspect-ratio contact-hole profiles produced by
CF4 共d兲 or Ar/ CF4 共e兲 plasma etching from the work of Negishi et al. 共Ref.
112兲. The roughness of the resist mask introduced by the plasma is transferred into the trenches 共c兲 or contact holes 关共d兲 and 共e兲兴 formed in SiO2. 共f兲
and 共g兲 illustrate the formation of sidewall striations and wiggling of photoresist lines, respectively, during a CF4 / CHF3 plasma etching process
关from the work of Kim et al. 共Ref. 113兲兴.
LER existing in the resist features before plasma exposure.
Roughening of resist lines has been observed frequently. An
example is shown in Fig. 3共c兲, which displays 50 nm wide
trenches formed in SiO2 by plasma etching using an
electron-beam patterned PMMA resist mask.8 The plasma
exposure of the PMMA resist produced surface and line edge
roughness in the PMMA material, which was subsequently
transferred into the SiO2 trench sidewalls.
In Figs. 3共d兲 and 3共e兲, SEM images of high-aspect-ratio
contact-hole profiles produced by CF4 共d兲 or Ar/ CF4 共e兲
etching are shown 共from Negishi et al.112兲. The authors employed a 193 nm PR mask to produce contact-hole features
in SiO2 by electron cyclotron resonance plasma etching. Significant surface roughness is introduced into the mask, and
the contact-hole sidewalls are distorted as a result of roughening the PR mask. The comparison of Figs. 3共d兲 and 3共e兲
shows that the resist surface after CF4 etching is significantly
smoother than after CF4 / Ar etching.
In Figs. 3共f兲 and 3共g兲 the problems of striation formation
and wiggling of photoresist lines are shown, respectively
共from the work of Kim et al.113兲. Formation of rough sidewalls corresponds to striation formation. Wiggling of the
photoresist lines denotes collapse of the PR lines during
plasma etching. It is thought to be due to significant polymer
line shrinkage by loss of volatile products near the base of
the lines, whereas near the top of the lines mixing of plasma
J. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
species prevents significant line shrinkage.113 The length
scale of line edge roughness is an important variable in influencing device performance 共see Ref. 10兲.
Photoresist mask changes introduced by plasma exposure
are crucial if this impacts LER values, since LER relates
directly to device performance, e.g., including gate length
and threshold voltage.113,114 Changes in the nanoscale topography of device features produced by plasma-based pattern
transfer have many undesirable effects, e.g., reduced carrier
mobility due to scattering in nanoscale wires8,115 and possibly reduced process yield of final devices. Hua et al.116 observed that rough surfaces develop within a few seconds of
exposure of 193 nm PR materials to C4F8 / 90%Ar discharges. Using PR trench patterns and performing time resolved plasma exposure studies using a shutter system, they
were able to capture how during plasma exposure of PR
structures, transfer of surface roughness produced rough PR
sidewalls that exhibit vertically aligned features.116 This is
shown in Fig. 4. The material shown is a 300 nm thick 193
nm PR film, spin coated on ⬃80 nm thick bottom antireflection coating 共BARC兲 layer on top of an organosilicate glass
layer on a silicon substrate. Line and trench patterns were
formed in the 193 nm PR film by lithographic exposure and
development. To highlight initial stages of PR surface and
structure modifications by plasma exposure, the structures
were directly exposed to the C4F8 / 90%Ar plasma without
first etching the BARC layer. The C4F8 / 90%Ar discharges
were produced in an inductively coupled plasma 共ICP兲 device using 1000 W source power, a pressure of 10 mTorr, a
total gas flow rate of 50 SCCM 共SCCM denotes cubic centimeter per minute at STP兲, and a self-bias voltage of ⫺125
V. Scanning electron microscopy images of the top surfaces
and trench sidewalls are shown in Fig. 4. A “standing wave”
pattern due to the photolithographic process is visible on the
sidewalls of as received 193 nm PR patterns 关horizontal lines
in Fig. 4共a兲兴 and disappeared after short plasma-photoresist
interaction times. For a brief plasma exposure time of
⬃1.6 s, primarily surface roughness is visible and no features that extend from the top to the bottom of the PR side-
010801-9 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
FIG. 5. Atomic force microscopy of photoresist mask roughness transfer into
a SiO2 underlayer during plasma etch of a dielectric 共from Ref. 117兲. The
letters B, C, and D denote the photoresist, bottom antireflection coating, and
the SiO2 layer, respectively. In 共a兲, the principle of the AFM technique used
to image multilayer sidewalls on cleaved silicon wafers is shown. In 共b兲, the
AFM sidewall image after plasma etching of the SiO2 layer is shown, displaying clearly the striations that extend vertically across the etched SiO2
layer.
walls 关Fig. 4共b兲兴. For longer plasma exposure times, increasingly larger and more clearly defined columns are formed on
the PR line sidewalls. Finally, striations extend from the top
to the bottom of the PR line sidewalls. The orientation of the
columnar structures is nearly vertical, indicating that ion
bombardment plays an important role in the formation
mechanism. Formation of significant sidewall roughness appears to be caused by initial surface roughening followed by
ion induced transfer of the rough edges into the feature sidewalls. A corresponding study with 248 nm PR showed much
reduced surface and sidewall roughening, indicating that the
molecular structure of the PR plays an important role in determining the scale of roughness formation.116
Morphological changes of PR structures have been characterized using atomic force microscopy 共AFM兲117,118 and
frequency spectra of LER have been determined.119 A beautiful example of AFM characterization of plasma-induced
LER is shown in Fig. 5 from the work of Goldfarb et al.117 In
order to enable AFM analysis of PR sidewalls, they cleaved
the wafer in a direction parallel to an array of PR lines and
subsequently used atomic force microscopy to image
photoresist-underlayer sidewalls 关see Fig. 5共a兲兴. The figure
shows the 248 nm photoresist layer on top of the BARCs and
the SiO2 layer, along with the AFM technique used to image
roughness formed in the multilayer sidewalls by plasma
etching. The developed PR image was transferred using a
N2 / H2 共1:1 flow rate ratio兲 plasma into the BARC layer,
followed by CF4 / CHF3 / Ar 共3:1:22.5兲 for etching of 500 nm
SiO2. For plasma etching, they employed a medium-density
JVST B - Microelectronics and Nanometer Structures
010801-9
capacitive etch reactor; 500–600 W power and 40–60 mTorr
pressure were quoted as typical parameters. The key result of
Fig. 5共b兲 is that after plasma etching striations extend vertically from the PR layer across the etched BARC and SiO2
layers. While the roughness on the PR sidewalls found after
photolithographic processing is isotropic, plasma etch produces directional roughness. The striations formed in the PR
sidewalls continue into the etched underlayers. This work
was performed with 248 nm PR for which many studies
show reduced surface and line edge roughness formation as
compared to 193 nm PR. Goldfarb et al.117 pointed out that if
the PR etch rate could be suppressed sufficiently so that the
PR-BARC sidewall surface remained relatively smooth during the process, then propagation of roughness into the SiO2
underlayer can be prevented.
Many other groups besides the ones mentioned have studied roughness introduction during plasma exposure when using nonaromatic PR materials or other masking situations
and examples of their work can be found in Refs. 120–134.
Surface roughness and line edge roughness introductions in
resists during plasma etching have been extensively investigated by Gogolides et al. and also reviewed by them.135 Guo
and Sawin84–86 modeled roughness formation during plasma
etching of resist patterned SiO2 and presented a review. Two
mechanisms are often invoked to explain pattern formation
at surfaces during interaction with energetic beams or
plasma.
共1兲 Competition between surface roughening and smoothing
mechanisms. Formation of surface ripples, waves, cones,
and other patterns are often seen during low energy ion
sputtering of inorganic materials.136–140 These phenomena, e.g., the ripple “wavelength” 共10–1000 nm, large
relative to the surface component of the ion range兲, are
typically highly temperature dependent and found to often reflect a competition between mechanisms that produce surface smoothing, e.g., surface diffusion and viscous flow, and surface roughening, for instance, by
surface curvature-dependent sputter yields.136–138 A
model of ripple formation on surfaces during low energy
ion sputtering that considers the angular dependence of
the sputter yield and surface diffusion has been proposed
by Bradley and Harper136 共see also below兲. For instance,
this model allowed them to explain why the orientation
of the ripples depends on the angle of incidence of ions
relative to the surface. Similar surface topography effects
are seen for many inorganic materials. This is different
from polymer surface roughening results, which are
found to strongly depend on polymer structure.
共2兲 Micromasking. Deposition of nonvolatile materials often
leads to very rough surfaces, including “black” silicon
when SiO2, involatile metal or other micro- and nanoscale masks are formed for highly selective etching
processes.141–147 Surface roughening of resists and underlayers during plasma etching using fluorocarbon
gases has been attributed to localized fluorocarbon
deposition.84 Even though this effect may contribute to
surface roughness, it does not explain the strong depen-
010801-10 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
010801-10
dence of plasma-induced surface roughness on polymer
structure.
3. Silicon-containing resists
Top-surface-imaging 共TSI兲 is an alternative to single layer
resist processing. Here a SiO2 imaging layer is produced by
the interaction of an oxygen plasma with a thin silicon containing resist layer since for Si-containing polymers, exposure to an O2 plasma will produce an etch resistant SiO2
layer on the resist surface.148–156 This approach enables bilayer 共thin Si-containing top layer that is transformed into
etch resistant layer on thick organic bottom layer兲 or
multilayer lithography. The SiO2 pattern is then transferred
using O2 based plasmas into the thicker carbon-based resist
material without silicon. This process can show severe SR
and LER that have been widely studied.154,157–165
We conclude that the review of the literature shows agreement on 共a兲 the stability of aromatic polymers with regard to
both etching resistance and morphological stability in plasma
environments; 共b兲 the increased presence of elements X that
easily form volatile CmXn products, e.g., O or H, can explain
why overall composition of a resist material can provide a
first order estimate of etching resistance of the resist in a
plasma etch environment. While it is also clear that the molecular structure of a resist polymer plays a critical role in
changes of the surface morphology of a resist pattern during
plasma etch, i.e., introduction of surface and line edge roughnesses, the mechanistic origins of these processes have not
been clearly established. There is a significant agreement that
the transfer of resist surface roughness into the resist sidewalls followed by extension of vertically aligned roughness
features 共striations兲 into feature sidewalls during plasma etch
is a formation mechanism of plasma-process induced sidewall or line edge roughness. The fundamental origin of
plasma-induced polymer surface roughness, the role of polymer composition and molecular structure, and the influence
of microscopic plasma parameters have remained unclear.
FIG. 6. 共Color online兲 Selected modifications of 193 nm photoresist polymer
materials to evaluate their influence on photoresist material responses in
plasma and energetic beam environments 共from Ref. 21兲. In 共a兲, a 193 nm
PR ter-polymer structure is shown; 共b兲 the PAG and base are specified which
when added to the polymer shown in 共a兲 produces full formulation of a
photoresist system; 共c兲 replacement of MAMA by EAMA in leaving group;
共d兲 replacement of RAMA by RADA in polar group; 共e兲 p-MAMA
homopolymer.
and UV-ion and substrate heating synergistic effects on PR
surface morphology. The results of this work have been
documented in Refs. 21, 106, and 166–179.
This work was complemented by studies of plasmas and
energetic beams interacting with a number of simple prototypical polymers, and elucidation of the factors that
control surface roughening characteristics. The materials
studied included polystyrene, poly-4-methylstyrene, and
poly-␣-methylstyrene, which show greatly differing radiation responses, along with nitrogen-containing polymers. Important differences in the plasma-surface interactions for
these materials have been established, e.g., due to differences
in response to the vacuum UV radiation.178 Ion interactions
with materials like polystyrene were also treated using molecular dynamics 共MD兲 simulations. The results of MD were
quantitatively compared with measurements on the modified
surface layers formed in plasma or ion beam experiments179
and will be reviewed below.
III. RESULTS OF PLASMA AND ENERGETIC BEAM
STUDIES OF MODEL PHOTORESISTS AND
POLYMERS
A. 193 nm photoresist etching, surface, and line edge
roughness
In this section, we will review the results of research focused on the understanding of the mechanisms of degradation of selected advanced PR materials, polymers, and nanostructures in plasma and energetic beam environments. The
overall objective was the characterization of the consequences of the interaction of plasmas and energetic beams
with model polymers that photoresists employed in advanced
photolithography are based on, along with establishing atomistic factors that control etching rates, and introduction of
surface roughness/line edge roughness. Two of the photoresist polymers used for that work are shown in Fig. 2. We will
review the insights this work produced on the respective
roles of polymer structure and plasma fluxes to the surface
Figure 6 shows the structures of model polymers used by
Engelmann et al.21,166–168 that complement the polymers
shown in Fig. 2. Using these polymers, they explored the
impact of different backbones and functional groups on etch
rate and morphological stability in plasma and energetic
beam environments. The materials studied included customsynthesized and fully characterized methacrylate-based adamantane polymers representative of advanced photoresist
materials, related core homopolymers, and fully formulated
193 and 248 nm photoresist systems based on the model
compounds. The experimental strategy was to study plasmapolymer interactions for 193 nm polymers that differ slightly
in the makeup of the leaving group or the polar group to
J. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
010801-11 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
evaluate the impact of specific groups on polymer/
photoresist etching rate and introduction of surface roughness.
A methyl adamantyl methacrylate in the leaving group
共MAMA兲, an ␣-gamma butyrolactone methacrylate in the
lactone group 共GBLMA兲, and R-functionalized adamantyl
methacrylate in the polar group 共RAMA兲 is typical of 193
nm PR materials and serves as a reference and is displayed in
Fig. 2共a兲. In addition, a fully formulated 248 nm photoresist
共248 nm PR兲 based on hydroxystyrene, styrene, and
t-butylacrylate was also studied and is shown in Fig. 2共b兲.
For the 193 nm PR material, Engelmann et al.21 tested the
impact of 共a兲 adding PAG and base to MAMA to produce a
fully formulated chemically amplified photoresist with a
polymer identical to the reference MAMA 关see Figs. 6共a兲 and
6共b兲兴. This comparison clarified the influence of functional
additives on PR performance in the plasma environment; 共b兲
changing the leaving group to ethyl adamantyl methacrylate
共EAMA兲 关see Fig. 6共c兲兴; 共c兲 replacing the methacrylate group
in the polar group of MAMA with an acrylate group
共RADA兲 关see Fig. 6共d兲兴. 共c兲 The behavior of the adamantyl
structure during plasma etch was examined in isolation using
the homopolymer poly-metyladamantylmethacrylate 共pMAMA兲 关see Fig. 6共e兲兴. The etching behavior of these polymers in industrially relevant C4F8 / 90%Ar inductively
coupled plasma processes was examined. Comparisons with
the results obtained in parallel ion beam studies will be described below.
Blanket films of all materials 共400 nm thick兲 coated on Si
wafers and soft-baked at 120 ° C for 1 min were exposed to
C4F8 / 90%Ar ICP discharges. The plasma systems used for
this work are based on low pressure, inductive plasma
sources.116 The “standard conditions” used in the work of
Engelmann et al.21 were C4F8 / 90%Ar inductive discharges
operated at a source power level of 800 W, 10 mTorr pressure, and a self-bias voltage of ⫺100 V achieved by independent rf biasing. Characterization and computer modeling
results of similar C4F8 / Ar discharges produced in one of the
ICP systems have been described elsewhere.180,181 To overcome the problem of long plasma stabilization periods 共up to
⬃30 s in conventional plasma reactors,182,183 Engelmann et
al.21 used a shutter configuration116,184 that enabled a minimum controlled plasma exposure time of less than 1 s. This
allowed them to study the temporal evolution of polymer/
photoresist properties. Materials etching rates, and surface
chemical and morphological modifications were measured
by complementary ellipsometry, x-ray photoelectron spectroscopy 共XPS兲, static time-of-flight secondary ion mass
spectrometry 共ToF-SIMS兲, Fourier transform-infrared spectroscopy 共FTIR兲, scanning electron microscopy, and atomic
force microscopy.21
All materials showed the following behavior when exposed to C4F8 / Ar plasma operated using the above standard
conditions:
共a兲
共b兲
Etching rates decreased during the first 15 s to a value
roughly half the initial value.
The refractive index of the polymer materials increased
JVST B - Microelectronics and Nanometer Structures
010801-11
FIG. 7. 共Color online兲 Etching rates and AFM RMS roughness values of
different photoresist-related materials vs Ohnishi parameter OP 共from Ref.
21兲. The lowest OP is found for the 248 nm PR shown in Fig. 2共b兲 and
shows low etching rate and surface roughness. The p-MAMA homopolymer
shown in Fig. 6共e兲 has an intermediate OP value, with a low etching rate but
very high surface roughness. The 193 nm PR polymer and variations 关Figs.
6共a兲, 6共c兲, and 6共d兲兴, along with the fully formulated 193 nm PR system
关Fig. 6共b兲兴, have comparable OP values and are shown on the right.
共c兲
共d兲
rapidly at first. The refractive index increase reflected
elimination of hydrogen and oxygen from the surface
region of the polymer and formation of a dense graphitic layer. Detailed ellipsometry simulations and
complementary measurements 共using Ar plasma兲 revealed the formation of up to ⬃3 nm thick graphitic
layer during initial plasma exposure. Damage layer formation will be discussed in more detail below.
Oxygen and hydrogen loss and the subsequent fluorination of the PR near-surface region were investigated
using core level and valence band x-ray photoemission
spectroscopy performed with plasma-processed materials after transfer in vacuum into an UHV surface analysis chamber. The data of Engelmann et al.21 indicate
that after about 15 s plasma exposure time the degrees
of oxygen and hydrogen loss and fluorination of the
first 1–2 nm of the polymer were essentially complete,
and steady-state etching of the PR had started.
High spatial resolution ToF-SIMS analysis of samples
produced using a moving shutter allowed the plasma
exposure time to be varied continuously as a function
of position. This approach was used to determine the
temporal evolution of key photoresist components. The
results of the ToF-SIMS measurements showed that
within less than 5 s of fluorocarbon/Ar plasma exposure, the MAMA, GBLMA, the photoacid generator
关triphenylsulfonium perfluorobutanesulfonate 共TPSPFBS兲兴, and other groups in the near-surface region
共⬃10 nm兲 had been destroyed.
For the specific model polymers displayed in Figs. 2 and
6, Engelmann et al.21 showed that a low plasma etching rate
does not guarantee low surface roughness and vice versa.
Instead, nanoscale surface roughness evolution was found to
depend strongly on polymer molecular structure. Figure 7
from that work21 shows time-averaged 共60 s兲 etch rates after
standard plasma exposure versus the Onishi parameter of the
materials. Consistent with the observation that oxygen content strongly influences the etching resistance of polymers,53
010801-12 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
the 248 nm PR and p-MAMA materials 共with smaller relative oxygen content than the 193 nm PR related materials
shown in Figs. 2 and 6兲 exhibit smaller etching rates than the
193 nm materials. For the latter, the etch rates decrease from
EAMA to MAMA and 193 nm PR 关identical ER for the
materials shown in Figs. 6共a兲 and 6共b兲, which demonstrates
that the functional PR additives do not influence etch rate兴,
and the RADA etch rate is lowest. While Fig. 7 shows that
the polymer etch rates roughly follow the Ohnishi model and
thus reflect major differences in oxygen content of the PR,
systematic and significant differences are seen for the 193
nm PR related compounds. For these, the oxygen content is
roughly comparable, and the Ohnishi model cannot account
for the observed etching rate differences.
Figure 7 also shows measured root mean square 共rms兲
surface roughness values for all materials after 60 s plasma
processing. The roughness data imply that the introduction of
surface roughness is not dominated by polymer etching rate
共i.e., layer thickness removed during 60 s兲. The behavior of
the p-MAMA homopolymer shows that the adamantyl structure is highly susceptible to plasma etching induced roughening. Consistent with this observation, we will show that
the labile nature of the adamantyl structure is one key factor
for the surface roughness effects seen for all of the 193 nm
polymer materials examined in the work of Engelmann et
al.21 The observation of a smaller etching rate for p-MAMA
than the 193 nm related materials suggests that the oxygen
incorporation of the ter-polymers, especially in the lactone
group, is the factor that increases PR etch rate.
The data of Fig. 7 support the picture that while polymer
etching rates correlate reasonably well with average polymer
stoichiometry, surface roughness introduction is more
strongly influenced by polymer structure.
The Ohnishi etching relationship describes sputtering of
oxygen-containing polymers in Ar discharges.53 For reactive
discharges, an even larger change in surface composition is
expected. A question examined by Engelman et al.167 is if a
simple extension of the Ohnishi parameter to include the
fluorine coverage could capture these changes. The etching
model developed by Engelmann et al.167 was compared with
the data of measured PR etching rates, compositional information of the starting material, and surface chemistry determination during steady-state etching of the resist materials.
The treatment presented follows that given by Engelmann et
al.167
For an oxygen or fluorine containing polymer, the polymer etching rate ER consists of physical sputtering ERPS and
ion-enhanced etching ERIE components. For carbon bonded
to oxygen or fluorine atoms, this can be written as
共5兲
185–187
Following Mayer and Barker,
Engelmann et al.167
assumed that the ion-enhanced etching rate ERIE is proportional to the fractional neutral 共oxygen and fluorine兲 coverage ⌰ given by ⌰ = 共nO + nF兲 / n. Here nO and nF are the numJ. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
bers of surface sites occupied by oxygen and fluorine,
respectively, and n corresponds to the total number of surface sites.
Engelmann et al.167 expressed ERIE as
ERIE = vi⌰Y IE⌫i .
共6兲
Here vi denotes the volume of substrate material removed
per etch inducing ion, Y IE共Ei兲 denotes the energy dependent
etch yield of the process and ⌫i denotes the ion flux. The
total ER becomes
ER = ERPS + ERIE = vPS共1 − ⌰兲Y PS⌫i + vi⌰Y IE⌫i
or
ER = vPS
冉
+ viF
共7兲
冋
冊
n − nO − nF
nO
Y PS⌫i + viO Y IEO
n
n
册
nF
Y IEF ⌫i .
n
共8兲
where Y IEO and Y IEF are the yields for ion-enhanced etching
for O and F bonded to the polymer surface, respectively.
Engelmann et al.167 assumed
vPS ⬇ viO ⬇ viF = v .
共9兲
For the C4F8 / 90%Ar discharges they examined, Ar+
dominates the ion flux. They therefore ignored the contribution of ions on the chemical modification of the polymer
materials and obtained
ER = vY PS⌫i +
冋
册
nF
nO
共Y IEO − Y PS兲 + 共Y IEF − Y PS兲 v⌫i .
n
n
共10兲
Further assuming Y IEO = Y IEF = Y IE, they expressed the
measured etching rate ER as
1. Examination of etching model
ER = ERPS + ERIE .
010801-12
ER ⬇ ER0 +
冉
冊
nO + nF
共Y IE − Y PS兲v⌫i ,
n
共11兲
where the etching rate ER0 corresponds to physical sputtering only. The change in etching yield becomes
冉
冊
ER − ER0
nO + nF
= ⌬EY ⬇
共Y IE − Y PS兲.
n
v⌫i
共12兲
If the fluorine surface coverage nF vanishes, then this expression reduces to 1 where the etching yield increases with
the fractional surface coverage by oxygen atoms,
⌬EY ⬇
冉 冊
nO
共Y IE − Y PS兲.
n
共13兲
This expression corresponds essentially to the Ohnishi
model, with the etching yield linearly increasing with oxygen
surface coverage. We also would like to point out that this
relationship has been reproduced in MD simulations on Ar
sputtering of different oxygen-containing polymer
structures.188
In Fig. 8, a graph from Engelmann et al.167 is displayed,
which shows their measured etching yields for 193 nm PR,
010801-13 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
FIG. 8. Etching yields vs neutral coverage of for 193 and 248 nm photoresist
materials and p-MAMA model polymer in pure Ar and C4F8 / Ar discharges
共from Ref. 167兲. The surface coverage was obtained by x-ray photoemission
spectroscopy.
248 nm PR and p-MAMA in either pure Ar or C4F8 / x%Ar
etching chemistries versus measured surface coverage 共nF
+ nO兲 / n. The source power was 800 W, the self-bias voltage
was fixed at ⫺100 V, and the pressure was 10 mTorr. 共nF
+ nO兲 / n was determined from x-ray photoelectron spectroscopy measurements of PR materials for steady-state etching
conditions, and the data were assumed to provide information on the composition of the surface sites participating in
the etch process. Figure 8 shows a line which is the etching
yield solely based on physical sputtering where the F surface
coverage vanishes 关Eq. 共13兲兴. This can be compared with the
data obtained for pure Ar processing. For pure Ar processing,
the initial oxygen content of the polymer determines the surface composition, and the linear relationship seen in the figure is in agreement with Eq. 共13兲 and therefore the Ohnishi
model.
Figure 8 shows that the ER of the PR materials in
Ar/ C4F8 plasma cannot be accounted for by simply considering the F coverage of the surfaces. The disagreement
should be related to the complex nature of the fluorocarbon
modified PR surface layer. Fluorine is transported to the PR
surface in the form of FC precursors, which produce an
etching-resistant FC layer. The build-up of this layer on the
PR surface and the concomitant increase of the fluorine areal
density on the PR surface do not directly increase etching
yield of the PR material, but instead actually lower etching
yield 共etching yields seen for C4F8 / Ar are all below the line
that describes Ar sputter erosion兲. Activation of the deposited
fluorocarbon layer by ion bombardment is required before
etching of the photoresist layer underneath that will take
place for these conditions.189
While the simple etch model presented captured basic
trends in polymer removal, systematic deviations from this
model were seen, and the effect of F addition to the polymer
surface could not be reproduced. This is explained by the
fact that fluorocarbon film formation at the polymer surface
actually can suppress PR etching and indicates the importance of additional effects, which need to be incorporated in
more comprehensive model of plasma-polymer interactions.
The conclusion that must be drawn from the work of EngelJVST B - Microelectronics and Nanometer Structures
010801-13
FIG. 9. Time-evolution of rms surface roughness measured by atomic force
microscopy for 193 nm PR after plasma etching using C4F8 / 90%Ar 共after
Ref. 171兲. Experimental conditions: C4F8 / 90%Ar, 10 mTorr, 800 W source
power, ⫺100 V self-bias voltage, and a substrate temperature of 10 ° C. The
images show corresponding scanning electron micrographs.
mann et al.167 is that knowledge of the elemental surface
coverage of a polymer will not suffice to reproduce trends in
etching yield. The complex nature of the reaction layer
formed on a resist surface in fluorocarbon plasma and the
way how the presence of this layer modifies energy deposition by ions in the polymer surface, and additional effects,
e.g., changes in cross-linking behavior, must be explicitly
considered in a realistic treatment of polymer etching.
2. Time dependence of surface roughness and
spectral size distribution
Sumiya et al.171–173 investigated the time dependence of
193 nm photoresist degradation in C4F8 / 90%Ar discharges,
including roughness formation of the 193 nm PR and 248 nm
PR materials shown in Figs. 2共a兲 and 2共b兲, respectively. They
employed a shutter approach to achieve rapidly steady-state
plasma condition when processing PR surfaces and used a
variety of techniques, including time-resolved ellipsometry,
x-ray photoemission spectroscopy, atomic force microscopy,
and scanning electron microscopy to characterize temporal
evolution of PR etching rates and modified surface layer formation. The surface roughness of the 193 nm PR for different exposure times measured by AFM is shown in Fig. 9.
Scanning electron microscopy images are shown for comparison. The rms roughness increased rapidly at the beginning of the interaction with the plasma, and after a few seconds of plasma exposure time the rate of roughness increase
slowed.
The AFM data were further analyzed using fast Fourier
transform 共FFT兲 spectral analysis to characterize the size distribution of the surface roughness features 共see Fig. 10兲. The
spatial roughness distribution after 0.6 s plasma exposure
was peaked at a spatial frequency of 0.019/nm, which corresponds to a characteristic feature size of 52.6 nm. After 3 s
plasma processing time, the peak shifted to a spatial frequency of 0.024/nm corresponding to a roughness feature
size of 41.7 nm. Subsequently, an increase of the roughness
amplitude was observed, whereas the spatial roughness distribution no longer changed appreciably. These data indicate
010801-14 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
010801-14
FIG. 11. Schematic showing plasma-polymer interactions for two process
conditions that differ in polymer etching yield and produce differing energy
densities at the surface 共from Ref. 166兲. The differences in dissipated energy
are assumed to produce dissimilar polymer surface modifications.
FIG. 10. 共Color online兲 Spatial roughness distributions obtained by fast Fourier transform analysis of AFM data used for Fig. 9 for different plasma
etching times 共after Ref. 171兲. The FFT calculation was performed in horizontal direction of AFM images like shown on the top and averaging over
the scanned area.
that polymer rearrangement takes place primarily during the
initial etch period 共of the order ⬃1 – 3 s兲. During the steadystate etch period, growth of surface roughness appears to be
due to vertical etching and can be explained by different ERs
of low and high surface features. This causes the amplitude
of the spatial roughness distribution to increase with etching
time, while the spatial roughness distribution itself remains
the same. Sumiya et al.171–173 suggested a roughness evolution model where a certain spectral roughness distribution is
introduced during the first few seconds of interaction of
plasma with the resist polymer and this surface template is
transferred during subsequent etching time into the underlying resist material, increasing the roughness amplitude.
From a comparison with the results of the other characterization methods employed, Sumiya et al.171 were able to
identify other events taking place during the transient- and
steady-state regimes of plasma-surface interaction with the
PR. During the modified layer formation period, selective
oxygen removal at ester groups in the polymer side chain
occurs and a fluorocarbon film develops on the PR surface.
The cleavage of polymer bonds enables polymer rearrangement by bond reformation. This surface modification is reflected in ellipsometric measurements by the formation of a
graphiticlike damaged layer. X-ray photoelectron spectroscopy revealed that before a fluorinated surface developed,
cleavage at ester groups of the side chain in the polymer and
dangling bond formation took place. From a comparison
with the time dependence of the AFM surface roughness
data, it could be concluded that this corresponds to the period
when the characteristic roughness size distribution has developed. After several seconds of plasma exposure of the PR,
steady-state etching begins and a fluorocarbon film of
roughly constant thickness has developed on the surface.
This corresponds to the time period when the spatial distribution of surface roughness changes only little, and primarily
the amplitude of the roughness features grow.
J. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
Sumiya et al.171 reported notable differences in the etching behavior of 193 nm PR and 248 nm PR materials during
the initial plasma-interaction period. Whereas for 193 nm
PR, etching was observed immediately and the material exhibited large surface roughness introduction, fluorocarbon
film deposition took place initially on the 248 nm PR material and little surface roughness was introduced. XPS measurements showed that when comparing fluorine and oxygen
content of the surface layers for the two PR materials, the
fluorine content was more important for 248 nm PR than for
193 nm PR.171 For 193 nm PR, oxygen in the side groups
enhanced the etching rate initially, and the resulting weakening of the polymer structure was assumed to be connected
with the rapid surface roughness formation observed for that
time period. The loss of carbon-oxygen groups can take
place at significant polymer depth 共⬃100 nm兲 due to irradiation of the 193 nm PR surface with energetic UV photons.
This will be discussed below.
3. Relationship of roughening rate to deposited
energy density
Engelmann et al.166,167 compared etching rates and surface roughness data obtained with 248 nm PR 共aromatic兲,
193 nm PR 共adamantyl+ lactone兲, and p-MAMA 共adamantyl兲
for exposure to C4F8 / Ar and CF4 / Ar discharges produced
using significant variations of the process parameters. They
studied the influence of source power, which primarily influences plasma density and degree of molecular dissociation,
bias power, which controls ion energy distribution and maximum ion energy, and pressure, which strongly changes the
ion/neutral ratio of the discharge. They found that etch rates
and surface roughness data for all processed samples correlated with the energy density ␧ 共eV/ nm3兲 deposited by the
plasma at the polymer surface during the etching process.
The motivation why ␧ may relate to polymer damage and
surface roughness is as follows 共see Fig. 11 from Ref. 166兲:
Ion bombardment of the PR surface subjects the PR material
during processing to an energy flux. For ion-assisted etching,
a PR volume element vi at the surface receives a characteristic mean energy E before it is volatilized. If the etch yield
EY during processing differs strongly for two process condi-
010801-15 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
tions, the polymer material will be subjected to different energy densities ␧ for the two situations. In Fig. 11, the etching
yield EY1 is assumed to be higher than EY2 measured for a
different situation. The time interval ⌬t during which the
volume element vi receives the energy input is short and a
small energy density ␧1 is deposited at the PR surface during
steady-state etching. The second situation characterized by a
lower etching yield EY2 will require the deposition of a
higher energy density ␧2 to the surface to volatilize the volume element vi. It is assumed that the higher energy density
␧2 deposited into the polymer surface will induce more damage in the polymer structure than a lower energy density ␧1.
The energy dissipated in the near-surface polymer region can
structurally and chemically modify the photoresist polymers.
For instance, ion bombardment produces a graphitic region
at the polymer surface for plasma operating conditions for
which the etching yield is low 共e.g., Ar兲. Engelmann et al.166
assumed that if polymer damage due to energy transfer from
the plasma was responsible for the formation of characteristic surface roughness features, then roughness introduction
should correlate with ␧. The roughness features, once established, could serve as surface templates for ion-based transfer during etching and vertical evolution of roughness.166
The characteristic energy density ␧ deposited during processing in the polymer was obtained by Engelmann et al.166
from their measurements using the following considerations
共the treatment presented is taken from their work兲. The energy E carried by ions to a surface element a during time ⌬t
is
E = Paa⌬t,
共14兲
with Pa the power density 共energy per unit area兲 deposited at
the polymer surface. Using the measured ion flux ⌫i and the
average ion energy Ei incident on the substrate, this can be
rewritten as
E = ⌫iEia⌬t.
共15兲
Engelmann et al.166 defined the etching yield EY,
EY =
Ns
,
Ni
共16兲
with Ni and Ns the number densities 共atoms/unit area兲 of
incident ions and sputtered substrate atoms during a time
interval under steady-state etching conditions, respectively.
Using the volume of substrate material vi removed per etchinducing ion, the ion induced etching rate ER can be written
as
ER = viEY⌫i .
共17兲
If a layer with thickness d is etched during a time interval ⌬t,
this yields
d = ER⌬t = viEY⌫i⌬t.
The time interval ⌬t is given by
JVST B - Microelectronics and Nanometer Structures
共18兲
010801-15
FIG. 12. Measured surface roughening rates of 193 and 248 nm photoresist
materials and p-MAMA model polymer vs energy density during plasma
processing for a wide range of plasma operating conditions 共from Ref. 166兲.
The universal behavior seen as a function of energy density for each polymer structures indicates the overriding importance of both deposited energy
density and polymer structure in determining observed surface roughening
rates.
⌬t =
d
d
=
.
ER viEY⌫i
共19兲
The energy E deposited in the volume ad while etching a
layer of thickness d is
E = ⌫iEia⌬t =
⌫iEiad
.
viEY⌫i
共20兲
This yields the energy deposited per unit volume ␧
␧=
Ei
E
=
.
ad viEY
共21兲
Engelmann et al.166 observed universal relationships for
particular polymer structures m when the roughening rate
共RRm兲—defined as surface roughness 共SRm兲 introduced per
depth of polymer material m etched—was plotted versus the
energy density ␧ present at the polymer surface during
plasma etching 共see Fig. 12兲. Ei was determined from the
measurement of the self-bias voltage Vdc by adding the
plasma potential V p 共⬃20 V兲. The etching yields EY were
obtained from measurements of etch rate and ion flux. Engelmann et al.166 used the roughening rate RRm instead of
rms surface roughness to represent the tendency of a material
to roughen. The reason is that during steady-state etching of
PR materials, existing surface roughness can be amplified by
an ion induced transfer mechanism where locally nonuniform removal rates lead to enhanced surface roughness. For
longer process times, a large contribution to the rms surface
roughness originates from this mechanism and may make it
more difficult to detect the influence of polymer structure.
By normalizing the rms surface roughness 共absolute surface
roughness SRm兲 to the removed thickness for a specific polymer material m, the roughening rate is obtained:
010801-16 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
RRm =
SRm
.
ERmt
共22兲
Using RRm, contributions of the ion based transfer mechanism can be minimized. Nevertheless, we point out that
while for a direct transfer mechanism a linear increase of the
rms roughness with time is expected, as has been
observed,190 we will discuss later for P␣MS that the rms
roughness increases approximately as the square root of
time.
The dependence of the roughening rates RRm of the materials on energy density ␧ for C4F8 / Ar discharges is shown
in Fig. 12 reproduced from Ref. 166. For a specific polymer
structure m, the roughening rate increases linearly with the
energy density ␧ 共eV/ nm3兲 deposited by the ions at the surface during processing, independent of the particular set of
plasma-process parameters that was used for a particular experiment. Consistent with the important role of adamantyl
groups for surface roughness formation, higher RRm were
observed for p-MAMA and 193 nm PR than for 248 nm PR.
Engelmann et al.166 expressed the roughening rate RRm as a
function of the energy density ␧,
RRm共␧兲 = Cm␧共Ei, vi,EY兲,
共23兲
where Cm is a proportionality constant that reflects the molecular structure of the polymer and provides a measure of
how effectively deposited energy in a plasma environment is
converted into surface roughness. Linear fitting of the data of
Fig. 12 yielded values of Cm for the adamantyl polymers of
3.6⫻ 10−6 nm3 / eV and for aromatic polymers of 7.9
⫻ 10−7 nm3 / eV. The characteristic roughening constant Cm
was not further interpreted,166 but relates to how strongly a
given polymer material responds to specific plasma environments. It is likely that the much larger roughening constant
Cm seen for adamantyl polymers than for aromatic polymers
reflects to a significant extent the key difference in how these
materials can be modified by energetic photon irradiation in
the plasma environment.
Addition of lactone groups to the adamantyl structure in a
193 nm PR increases the polymer removal rate, but left RRm
at given energy density unaffected. The high oxygen content
of 193 nm PR leads to high etch yields and prevents the 193
nm PR material from being exposed to high energy densities
during processing. The measured roughening rates RRm for
193 nm PR are small, but still consistent with the fragility of
the underlying adamantyl structure 共p-MAMA兲. For a vertical template transfer process, the surface roughness measured will depend on the actual amount of material removed
and absolute rms surface roughness SRm is given by
SRm = RRm共␧兲ERmt.
共24兲
The high surface roughness values seen for 193 nm PR can
be explained by a high removal rate in conjunction with large
Cm values characteristic of the adamantyl structure. Absolute
roughness values for 248 nm PR are significantly lower both
due to a lower roughening constant Cm and very low polymer
removal rates. Very high RRm values are seen for p-MAMA.
In the data of Engelmann et al.,166 there is no evidence that
J. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
010801-16
TABLE II. UV emission lines identified in the spectrum of ICP discharges
produced using Ar/ C2F6 共from Ref. 191兲.
Wavelength
共nm兲
Element/molecule
79.2
80.8
80.6–89.2
104.8
106.6
126.1
127.9
132.9
156.1
165.7
193.0
198.1
202.6
208.0
213.6
F
F
Ar
Ar
Ar
C
C
C
C
C
C
CF
CF
CF
CF
the roughening rates saturate at higher energy densities. Additional tests of this model, including a situation where the
etch yield was significantly increased due to fluorination of
the PR material,167 showed reduced RRm, which was consistent with the lower energy density ␧.
As already alluded to, the key factor that likely explains
the significant difference in the values of the roughening
constant Cm for aromatic and adamantyl polymers are their
strongly differing responses to VUV irradiation. As will be
discussed next, VUV exposure in the plasma environment
has been shown to modify the adamantyl-based 193 nm materials much more significantly than the aromatic 248 nm
related polymers.
4. Role of energetic photons
Discharges used for plasma etching expose the polymer
materials to energetic photons.14,15,124,125 For instance,
Woodworth et al.191 measured absolute intensities of ultraviolet light between ⬃250 to 50 nm wavelength 共corresponding to photon energies of 4.9–24 eV, respectively兲 for an
inductively coupled plasma system. They operated their ICP
system using various reactive gases, including fluorocarbon/
Ar mixtures discussed here. The emission wavelengths of
species identified in their work is reproduced in Table II,191
and serves as a reference to understand results to be discussed next. Argon plasma emits radiation in the VUV range.
Table II shows that two Ar I 共neutral Ar兲 resonance lines at
104.8 and 106.7 nm are characteristic VUV emission features of Ar plasma. For fluorocarbon containing discharges,
Woodworth et al.191 observed emission in the VUV range
due to F 共79.2 and 80.8 nm兲, along with several C emission
lines between ⬃126 and 193 nm, and FC-related 共fluorocarbon兲 emission lines between ⬃198 and 214 nm. Although
the VUV photon fluxes of the ICP reactors used for the
plasma-polymer interaction studies reviewed here have not
010801-17 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
been characterized, they are expected to be similar to those
found in the work of Woodworth et al.,191 in particular, since
similar process conditions were used.
What is the role of VUV photons in roughening 共or
smoothing兲 organic masking materials? Hydrocarbon materials absorb only specific radiation wavelengths in the UV
spectral range.15 Wavelengths corresponding to typical dissociation energies of chemical bonds in polymers are 336 nm
for C u C, 286–357 nm for C u O, and 249–336 nm for
O u H which can be excited by photons in the visible/UV
part of the spectrum.192,193 Hydrocarbon polymers absorb radiation in the VUV spectrum with absorption coefficients ␣
several orders of magnitude greater than in the UV region.
This kind of energetic radiation produces electronic excitation that is molecular site specific, followed by scission or
cross-linking reactions. The penetration depth d is obtained
from the Beer–Lambert law, which relates the absorption of
light to the properties of the material light passes through.
Since the penetration depth falls off exponentially with the
absorption coefficient, VUV photons are absorbed in the
near-surface region 关the depth is highly polymer dependent,
e.g., ⬃100 nm from the surface of methacrylate polymers,
but at smaller depth 共⬃40 nm兲 for PS material, see Refs.
192 and 193兴, while UV and visible radiation will penetrate
more deeply.15 As a result of VUV photon penetration, selected bond scission processes will take place. These can
lead to the removal of polymer species by production of
volatile gaseous products that can be studied by mass
spectrometry.194 For the 193 nm PR materials discussed, the
strong VUV absorbance of C v O at wavelengths of 160 and
185 nm is of principal importance in explaining the modifications in these materials.17
Weilnboeck et al.17 studied the interaction of radiation
produced by Ar and C4F8 / Ar discharges with 193 and 248
nm PR materials. Different optical filters were used to vary
the radiation exposure wavelength range in the ultraviolet
and VUV parts of the plasma emission spectrum. The cut-off
wavelengths were ⬃300 nm for a glass filter, ⬃140 nm for
a sapphire filter, and ⬃112 nm for a MgF2 filter.195 After
photon irradiation of the PR materials through optical filters,
chemical changes of polymer composition at the film surface
and in the material bulk were determined by x-ray photoelectron spectroscopy and FTIR spectroscopy. Morphological
changes, film thickness reduction, and changes in surface
and pattern morphology were characterized by ellipsometry,
scanning electron microscopy, and atomic force microscopy.
Weilnboeck et al.17 observed that UV/VUV radiation accompanying plasma exposure of photoresist films can significantly modify the near-surface chemistry of the polymer
materials shown in Fig. 2. In particular, loss of oxygen related groups near the material surface and the bulk of 193 nm
PR related materials was seen, e.g., C v O, and led to a
thickness reduction of the PR films. The degree of PR modification is highly dependent on the polymer structure of the
photoresist and the wavelength range of the radiation exposure. For 193 nm PR materials, the degree of modification
JVST B - Microelectronics and Nanometer Structures
010801-17
FIG. 13. 共Color online兲 Thickness reduction of a 400 nm thick 193 nm PR
film as a function of time of exposure to different photon energies produced
in Ar plasma 共from Ref. 195兲. The data were obtained by real-time ellipsometry for different radiation wavelength ranges selected by using optical
filters between the plasma and 193 nm PR material. The thickness reduction
is due to radiation induced evolution of specific groups 共e.g., adamantyl and
C v O兲 at wavelength dependent depths of the polymer, along with densification of the modified polymer depth. Irradiation with visible radiation
共glass filter兲 results in a thickness reduction of about 1.5 nm. Irradiation with
more energetic photons 共alumina and MgF2 filters兲 reduces PR film thickness to a greater photon energy dependent extent. The thickness changes
saturate for extended exposures to the plasma.
increased with the photon energy while for 248 nm PR almost no material modification was seen in the work of Weilnboeck et al.17
Figure 13 shows the results of real-time ellipsometric
measurement of radiation induced material modification of
193 nm PR in Ar plasma for different radiation wavelength
spectral ranges selected using optical filters between the
plasma and 193 nm PR material 共from Ref. 195兲. The thickness reduction is due to the loss of volatile species produced
by photolysis, along with the formation of an optically
denser material in the surface region of the 193 nm PR film
modified by UV/VUV photons. The corresponding thickness
losses of the PR layers after a given exposure time were
found by optical multilayer modeling. The radiation induced
modification depends strongly on wavelength range, i.e., the
energy of the photons passed by the glass, sapphire, and
MgF2 filters, respectively.
Exposure of methacrylate based 193nm PR to photon radiation in the UV/VUV spectral range 共␭ = 112– 143 nm兲
leads to detachment and removal of oxygen-containing polymer pendant groups to a depth of about 100 nm. This causes
changes in the polymer structure, including chain scission,
and explains the significant film thickness reduction seen.
Chain scission reactions and residual detached polymer pendant groups are expected to soften layers of 193 nm PR. In
contrast to 193 nm PR, styrene-based 248 nm PR materials
were found to be significantly more stable under plasmaproduced irradiation. Small thickness reduction, reduced
oxygen loss, and cross-linking were observed in the surface
region of the 248 nm PR. This can be explained by the high
010801-18 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
FIG. 14. 共Color online兲 Correlation of film thickness reduction as estimated
by ellipsometry measurements and bulk material modifications of 193 nm
PR and 248 nm PR as observed by FTIR 共from Ref. 17兲. Thickness reduction is directly correlated with absorbance loss in the C u O u C spectra and
in the characteristic region for lactone in the C v O spectra for 193 nm PR.
Changes observed for exposure using the glass filter are significantly smaller
than for exposure using the MgF2 filter. The 248 nm PR shows high structural stability and only small thickness changes.
stability of the aromatic ring, the low oxygen content of 248
nm PR polymer, low ester linkage concentration, and the
absence of lactone.
Surfaces of materials only exposed to photons of Ar
plasma remained smooth as determined using AFM. The
modified materials were also studied using x-ray photoemission spectroscopy and FTIR. Weilnboeck et al.17 found that
for their Ar plasma conditions, 80% of the C v O intensity
was lost when the 193 nm PR film had been exposed to
plasma for 5 min. Exposure to the visible spectral range produced little C v O loss, indicating that the visible photon
energies were smaller than the dissociation energy of C v O.
As the C v O density decreased, the C u C content of the
near-surface region of the PR increased. In Fig. 14, the observed losses 共in the material bulk兲 of spectral features seen
in FTIR studies are plotted against the thickness reduction of
the PR films measured by ellipsometry.17 The loss of C v O
from the lactone group and COC vary relatively strongly
with the thickness reduction of the PR material, whereas
C v O of the ester group changes much less. Figure 13 also
shows that for 248 nm PR material little change in film thickness or of spectral features in the FTIR measurements are
seen. A summary of some of the changes seen in their work
is presented in Table III.17 Additional FTIR characterization
010801-18
FIG. 15. Relationship between measured line edge roughness for 193 nm
photoresist trenches and surface roughness on top of the photoresist lines for
C4F8 / Ar discharges 共after Ref. 168兲.
results of the 193 nm PR related materials after VUV exposure in a vacuum beam system will be described below.
Radiation-induced modifications of both PR materials decreased when filters cutting off at higher photon wavelengths
were used with Ar discharges. While Ar discharges strongly
emit at 104.8 and 106.7 nm, 90%Ar/ C4F8 discharges exhibit
increased emission in the VUV spectral range above 130 nm.
This plasma radiation is still energetic enough to dissociate
bonds in polymer materials. Since the UV/VUV penetration
depth of photons increases with greater wavelength 共lower
energy兲, material modifications to larger depths are expected
as compared to Ar. For these conditions, increased film thickness reduction of PR materials was seen as compared to
changes caused by exposure to Ar discharges. For C4F8 / Ar
plasma, Weilnboeck et al.17 observed strongly increased loss
of oxygen at the film surface, C u O u C and C v O lactone
absorption in the material bulk along with film thickness
reduction as compared to changes seen for pure Ar discharges.
5. Are line edge roughness and surface roughness
correlated?
Engelmann et al.166–168 compared surface roughness introduced in blanket photoresist films or on top of PR lines with
the sidewall roughness introduced in plasma etched PR
nanostructures. In Fig. 15 measured LER values are plotted
versus rms surface roughness for patterned 193nm PR after
C4F8 / Ar plasma etch using different inductive power
levels.168 The observation of a direct relationship between
surface roughness and line edge roughness of structures was
TABLE III. Summary of photon radiation induced material modifications for 248 and 193 nm photoresists in Ar or C4F8 / Ar discharges 共from Ref. 17兲.
Material
Gas used for discharge
Surface modification
Bulk material modification
Structural changes
248 nm PR
193 nm PR
Ar
Weak oxygen loss
Small
Ar
C4F8 / 90%Ar
Strong oxygen loss, reduction of C v O and C u O u C bonds, strongly increased for C4F8 / 90%Ar
Strong, increasing with photon energy
Strongly increased for sapphire filter
Detachment of pendant groups acting as plasticizer, partial removal of lactone
Chain scission
Cross-linking
J. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
010801-19 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
010801-19
FIG. 16. 共Color online兲 Schematic of chemical structures of model polymers
used by Bruce et al. 共Refs. 9 and 178兲 including PS, P4MS, P␣MS, and
P4VP.
consistently seen, and is in agreement with the template
transfer mechanism indicated by the SEM images displayed
in Fig. 4 or the AFM data of Fig. 5.
For experiments where other process parameters were
varied, a similar correlation between SR and LER was observed. Processing of 193 nm, 248 nm PR, and p-MAMA in
CF4 based discharges produced a much lower level of surface roughness.167 This was especially pronounced for
CF4 / H2 / Ar gas mixtures, possibly indicative of a role of H
and F at the surface in saturating dangling carbon bonds and
preventing carbon-induced cross-linking. The roughness evolution for experiments where the rf bias was varied showed a
weaker correlation between surface roughness and sidewall
characteristics.166,167 This was explained by ion-induced
transfer resulting in longer sidewall striations, whereas this
interaction does not impact greatly the LWR/LER values
near the top of the sidewall.
B. Results obtained with simple model polymers
Several studies by Bruce et al.9,178,196 using simpler model
polymers have clarified polymer degradation mechanisms in
the plasma environment. Styrene-based model polymers
were used in that work and are shown in Fig. 16. The rationale for the study of these materials is as follows: While PS
and poly-4-methylstyrene 共P4MS兲 are expected to behave
fairly
similar
in
the
plasma
environment,
poly-␣-methylstyrene 共P␣ MS兲 is expected to behave differently from the former.197 Prior studies have shown that polymers such as PS and P4MS with hydrogen bonded opposite
the side group on the carbon backbone 共called ␣-H兲 are
prone to cross-linking reactions, whereas polymers with a
methyl group bonded in that position, e.g., 共P␣ MS兲
共␣-methyl兲, show main chain scission reactions.198 The final
material shown in Fig. 16 is poly-4-vinylpyridine 共P4VP兲,
which served to explore the impact of nitrogen bonding in a
hydrocarbon polymer.
Bruce et al.178 studied Ar plasma interactions with the
styrene-based polymers shown in Fig. 16. Low energy ions
caused material removal by physical sputtering at the polymer surfaces. High energy ion bombardment was found to
create additionally an amorphous carbonlike damage layer,
with a thickness that was determined by the ion penetration
depth. The kinetics of formation of this damaged layer could
be characterized by ellipsometry in-real-time. A representative result is shown in Fig. 17 for the case of P4MS.178 FigJVST B - Microelectronics and Nanometer Structures
FIG. 17. Ellipsometric ⌿-⌬ plot 共a兲 and optical model 共b兲 of P4MS etched in
Ar plasma with ⫺100 V bias 共from Ref. 178兲. Also shown are simulated
trajectories of unmodified P4MS 共i兲 and P4MS with a 1.58 nm thick damaged layer with a complex refractive index 2.39– 0.4i 共ii兲. Ar discharges
were generated using 300 W source power, 10 mTorr pressure, 40 SCCM
gas flow, ⫺100 V self-bias, and 5 min plasma exposure time.
ure 17共a兲 shows the measured data and simulated curves, and
Fig. 17共b兲 shows the optical models of the P4MS surfaces
used to interpret the data.
To interpret the ellipsometric data, Bruce et al.178 used the
relationship between refractive index and extinction coefficient obtained for amorphous hydrogenated carbon.199,200 An
optical model that can reproduce the observed ellipsometric
behavior during steady-state etching was a two layer model
with a damaged layer of constant thickness created by ion
bombardment on top of an unmodified P4MS layer with a
thickness that decreased during plasma exposure 关see Fig.
17共b兲兴. This optical model reproduced the experimental ⌿-⌬
trajectory using 1.58 nm as the constant damage layer thickness 共obtained from molecular dynamics simulations179兲 and
varying the refractive index and extinction coefficient of the
damaged layer. Bruce et al.178 assumed that the damaged
layer had similar properties to amorphous carbon so that the
fit between the optical model and experimental data required
varying only one independent variable. It can be seen that
with a complex refractive index n-ik = 2.39– 0.4i, the simulated trajectory fits the measured ⌿-⌬ trajectory.
Using the relationship between optical properties, hydrogen content and atomic density of the amorphous a-C:H layers established in the referenced work,200 Bruce et al.178 were
able to determine the hydrogen content and atomic density of
the damaged layer seen in the ellipsometric measurements.
For a refractive index n-ik = 2.39– 0.4i, the hydrogen content
is 15.8%H and density is 2.41 g / cm3. These values are in
agreement with the values of 9.9%H and 2.41 g / cm3 obtained for the steady-state dehydrogenated layer in MD
simulations of Ar ion bombardment of PS.179 Additionally,
010801-20 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
FIG. 18. Difference in UV response for P4MS and P␣MS exposed to Ar
discharges 共from Ref. 178兲. Experimental ⌿-⌬ plots of P4MS and P␣MS
exposed to Ar discharges are shown, along with simulated curves. The ⌿-⌬
simulated trajectories of unexposed P4MS 共i兲 and P␣MS 共ii兲 with varying
thickness and constant refractive index are shown for comparison. The ⌿-⌬
simulated trajectory for a constant 30 nm UV modified layer on top of a
varying thickness of unexposed P␣MS is shown as curve 共iii兲. Argon discharges were generated using 300 W source power, 10 mTorr pressure, 40
SCCM gas flow, and no rf bias.
the ellipsometric analysis showed a strong decrease in sputter yield at the beginning of the etch cycle. The reason is that
the ion-damaged, hydrogen-deficient layer on top of the undamaged polymer has a significantly reduced plasma etch
rate. The steady-state sputter yield of ⬃0.03 C / Ar+ seen for
the plasma experiments is close to the result of the MD simulations to be described below.
In general, the characteristics of damage layer formation
introduced by energetic 共⬃100 eV兲 Ar ion bombardment at
a substrate temperature of 10 ° C was very similar for the
four polymer materials shown in Fig. 16 and exhibited little
dependence on polymer structure.
Important differences in the plasma-surface interactions
were established for P4MS and P␣MS polymers due to dissimilar responses to UV/VUV radiation 共see Fig. 18兲. Bruce
et al.178 observed that in addition to the modifications caused
by low energy ions, VUV radiation caused bulk depolymerization reactions that were highly polymer structure specific
and temperature dependent. Under conditions of negligible
ion bombardment, the formation of the graphitic damaged
layer shown in Fig. 17 is minimal. Instead, real-time ⌿-⌬
plots of P4MS and P␣MS exposed to Ar discharges show a
major difference 共see Fig. 18兲, which is explained by the fact
that P␣MS is strongly modified to a depth of at least 30 nm
by VUV. This VUV-induced reaction is not seen for P4MS.
An important conclusion of the work Bruce et al.178 is
that even though the PS, P4MS, and P␣MS polymers have
similar structure, they can show significantly different
plasma etch behavior. For plasma-process conditions of very
low energy Ar+ ion bombardment, P4MS showed negligible
changes in physical properties besides a slow etch rate 关see
curve 共i兲 in Fig. 18兴. The etch rate remained constant even as
the temperature was raised past the glass transition temperature of P4MS. In contrast, P␣MS showed highly variable
behavior, depending on conditions. Near room temperature,
the etch rate was similar to P4MS but the optical properties
J. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
010801-20
showed a densification at the surface 关see Fig. 18, curves 共ii兲
and 共iii兲兴. As the temperature increased, the etch rate increased greatly. Bruce et al.178 showed that VUV radiation
caused densification at low temperature and high material
loss at elevated temperature. The relationship of the substrate
temperature during plasma exposure relative to the ceiling
temperature of P␣MS was of utmost importance and determined what mechanism of degradation occurred.
During formation of a polymer, the ceiling temperature is
the temperature at which the rates of polymerization and depolymerization are equal. Below the ceiling temperature, the
rate of polymerization is much higher than the rate of depolymerization and the monomers will be converted to polymer. Above the ceiling temperature, the rate of depolymerization is higher and the monomers will not polymerize.
Bruce et al.178 discussed that even though polymers once
produced may be heated above the ceiling temperature without consequence in an inert environment, in an environment
subjecting the polymer to ionizing radiation, depolymerization will occur until the monomer concentration increases to
equilibrium at that temperature.201 Bruce et al.178 compared
the low ceiling temperature 共66 ° C兲 for converting
␣-methylstyrene monomers into P␣MS with that of P4MS
共395 ° C兲.202 Because of its low ceiling temperature, P␣MS
can be easily exposed to the plasma environment at a substrate temperature that is comparable to or greater than its
ceiling temperature. In that case, P␣MS will rapidly depolymerize since the VUV-induced scission reactions cannot be
reversed. This is most likely the mechanism that occurs for
P␣MS during plasma exposure when the material is heated
to 100 ° C, as done by Bruce et al.178 If the plasma exposure
takes place at a temperature below the ceiling temperature of
P␣MS, the scission products repolymerize randomly and create a dense, cross-linked near-surface region. Nest et al.203
also studied the importance of ceiling temperature for P␣MS
during exposure to VUV radiation and ion beams in an UHV
system, and found results that were consistent with those
obtained by Bruce et al.178 for the plasma environment.
1. Model of initial surface roughness
development
Bruce et al.9 examined the question: Are the introduction
of nanoscale surface roughness and the formation of the
plasma-induced dense damage layer related? In order to answer this question, they had to deduce additional properties
of the thin modified layer formed at polymer surfaces by ion
bombardment during plasma processing. Overall the results
suggest that the properties of this layer are consistent with it
playing a critical role in the evolution of polymer surface
morphology in the plasma environment. In particular, Bruce
et al.9 observed a relationship that suggests that buckling
caused by formation of the ion-damaged layer on a polymer
thin film may play a key role in initiating surface roughness
during plasma processing.
Plasma-induced buckling is schematically shown in Fig.
19, taken from the work Bruce et al., and the following is a
summary of this work.9 In the small deformation limit of
010801-21 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
010801-21
FIG. 19. 共Color online兲 Schematic of the buckling model of surface roughening proposed by Bruce et al. 共Ref. 9兲. The figure shows the highly
stressed, modified layer formation and roughening that occurs simultaneously at the surface of a polystyrene film under Ar plasma exposure. The
symbols used to represent important materials and morphological properties
are also shown.
buckling theory, the wavelength ␭ and amplitude A of
wrinkles formed by buckling are linearly proportional to the
thickness h of the stiff overlayer.204 Therefore, if it is possible to measure values of the modified layer thickness h and
deduce the elastic modulus E f of the modified layer for different process conditions, e.g., variation in ion energy, the
wrinkle wavelength ␭, and amplitude A may be calculated.
The results for wavelength ␭ and amplitude A can then be
compared with the dominant measured values of wavelength
and amplitude of the plasma-induced surface roughness obtained by atomic force microscopy.
Bruce et al.9 measured and calculated the ion-modified
layer thickness h by XPS analysis and MD simulation, respectively. For XPS analysis, the variation of the intensity of
the ␲-␲ⴱ shake-up peak at 291.3 eV, which is unique to the
aromatic ring structure of PS,205 was employed. It was assumed that the aromatic ring structure of PS was destroyed
in the ion-damaged layer.206 The attenuation of the ␲-␲ⴱ
shake-up peak from the undamaged PS underlayer by the
damaged overlayer can then be used to obtain h. For maximum ion energies of 50–150 eV, h values ranged from 1.1 to
2 nm, in good agreement with the values found by MD
simulations.9
The modified layer is treated as amorphous
carbon178,179,207 for which the relationship between the complex refractive index n-ik and density is known.199 Bruce et
al.9 assumed that the significant increase in atomic density of
the modified layer produced by ion bombardment relative to
PS polymer without ion bombardment—by roughly a factor
of 2—creates a compressive stress ␴ in-plane at the surface
of the polymer film. The elastic modulus of the modified
layer, E f , can also be established using literature values.208 In
the work of Bruce et al.,9 E f ranged from 271 to 549 GPa as
the maximum ion energy was increased from 50 to 150 eV.
For a thin, stiff film on a much thicker, softer film, a
compressive stress ␴ applied in-plane to the stiff film above
a critical value, ␴c, will create a buckling instability, causing
the bilayer structure to wrinkle.9 If both layers are treated as
elastic, ␴c is given by
␴c = −
冉
3Es共1 − ␯2f 兲
Ef
4共1 − ␯2f 兲 E f 共1 − ␯2s 兲
冊
2/3
,
共25兲
where E and ␯ is the elastic modulus and Poisson ratio, while
subscripts f and s denote the stiff overlayer and the soft
underlayer, respectively.209 Bruce et al.9 used the condition
JVST B - Microelectronics and Nanometer Structures
FIG. 20. 共Color online兲 Comparison of observed and calculated values of a
roughness model based on buckling of the polymer surface 共from Ref. 9兲.
共a兲 500⫻ 500 nm2 AFM images showing surface roughness in PS after ion
bombardment at varying maximum ion energies. Also shown are calculated
and experimental values of ␭ 共b兲 and A 共c兲 vs maximum ion energy of the
nanoscale roughness measured after plasm processing at 40 ° C.
that for all modified layers, the Poisson ratio is 0.30.210
Known properties of PS at 40 ° C gave values for Es and ␯s
of 2.87 GPa and 0.33, respectively.211 Using this information,
␴c was calculated for different conditions. The values of ␴c
range from ⫺7.6 to ⫺9.6 GPa as the maximum ion energy is
increased from 50 to 150 eV, whereas ␴ varied from ⫺15.5
to ⫺17.3 GPa. This showed that ␴ is always greater than ␴c.
Therefore, the stress produced by the formation of the modified layer is large enough to cause a relaxation by buckling.
Bruce et al.9 then compared the surface morphology measured by AFM on the roughened PS surface with predictions
of the wavelength ␭ and amplitude A given by buckling
theory 共see Fig. 20兲. An elastic bilayer structure that undergoes a buckling instability will wrinkle at an equilibrium
wavelength, ␭, and amplitude, A, that minimizes the elastic
energy of both films 共see Fig. 19兲. In the small deformation
limit, the equilibrium wavelength of buckling209 is
␭ = 2␲h
冉
E f 共1 − ␯2s 兲
3Es共1 − ␯2f 兲
冊
1/3
,
共26兲
and, in the same limit, the amplitude of the buckling instability is
A=h
冉 冊
␴
−1
␴c
1/2
.
共27兲
In Fig. 20共a兲, 500⫻ 500 nm2 atomic force microscopy
images of PS after four different plasma exposures are displayed. The Ar plasma operating conditions were 300W inductive plasma source power 共13.56 MHz兲, variable 共up to
150 W兲 3.7 MHz rf bias power to establish self-bias voltages
corresponding to the maximum ion energies quoted 共the
plasma potential of ⬃25 V was added to the substrate bias
voltage兲, and a pressure of 10 mTorr. The substrate temperature of ⬃10 ° C, and the thickness removed were the same
010801-22 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
共⬃20 nm兲 for the various conditions. A coarser structure of
the PS surfaces treated a high ion energies is apparent in the
images shown in Fig. 20共a兲.
Figure 20共b兲 displays a comparison of calculated values
of ␭ using Eq. 共26兲 with experimental values obtained by
determining the peak values in the FFT spectra of the AFM
images shown in Fig. 20共a兲. Figure 20共b兲 shows very good
agreement of calculated and experimentally measured values
of ␭. The comparison of calculated and experimental values
of A is shown in Fig. 20共c兲. Experimental values were obtained by measuring the rms roughness from the AFM images and subtracting the initial rms roughness of the unexposed polymer film 共0.3 nm兲. Figure 20共c兲 shows that the
experimental values of A are comparable to the calculated
values, although they vary more slowly with ion energy.
Bruce et al.9 discussed a number of possible reasons for the
lack of better agreement of measured data and calculated
values of A, including the fact that etching of the underlying
polymer materials takes place for the experiments but is ignored in the calculation of buckling amplitude A.
Additionally, Bruce et al.9 performed experiments above
the glass transition temperature Tg of plasma etched PS. For
these experiments, polymers were plasma treated and then
heated above Tg. Heating above Tg reduced the elastic modulus Es of PS by four orders of magnitude, and lead to a major
increase of wrinkle wavelength and amplitude. Again, good
agreement between calculated values and measured values of
␭ and A was seen. For instance, when heating PS to 170 ° C,
the calculated ⬃30⫻ increase in ␭ relative to the 40 ° C case
was confirmed experimentally.
We note that the characteristic roughness scale seen for
193 nm PR processed at a maximum ion energy of ⬃100 eV
in C4F8 / 90% Ar plasma shown in Fig. 9 of ⬃40 nm is comparable to the wavelength ␭ seen in Fig. 20 at the same ion
energy, possibly indicative of a related mechanistic origin of
the initiation of surface roughness formation for 193 nm PR.
This characteristic scale of surface roughness is large relative
to the size of polymer molecules, which indicates that a
simple localized origin of surface roughness induced by atomistic changes inside the macromolecules is an unlikely explanation.
We conclude that the compelling observation that ␭ and A
obtained from measured surface roughness data were comparable to values derived from elastic buckling theory in the
small displacement limit both below and above the glass
transition temperature Tg of PS are strongly suggestive of a
mechanical stress origin of plasma-induced surface roughness.
The buckling instability depends on the large difference in
the elastic moduli of the damaged layer and underlying polymer. One might wonder if such a difference will be large
enough to drive roughening whenever a stiff damaged layer
is formed? A comparison of surface roughening behavior of
three model polymers shown in Fig. 16 has clarified on how
the plasma-induced surface morphological changes vary with
molecular structure. Figure 21共a兲 shows the AFM scanned
images of PS, P␣MS, and poly 共4-vinylpyridine兲 共P4VP兲 afJ. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
010801-22
FIG. 21. 共Color online兲 Dependence of plasma-induced surface roughness on
polymer structure 共from Ref. 212兲. In 共a兲, AFM images are shown for PS,
P␣MS, and P4VP after 60 s inductively coupled plasma treatment in Ar or
C4F8 / 90%Ar discharges 共10 mTorr pressure, ⫺100 V self-bias in each
case兲. In 共b兲, the time evaluation of surface roughness during C4F8 / 90%Ar
plasma treatment is plotted vs either plasma exposure time or thickness of
polymer etched.
ter 60 s exposure to 100% Ar and C4F8 / 90% Ar inductively
coupled plasma.212 Both types of gas discharges were operated at 10 mTorr pressure, and the rf bias power was selected
to produce ⫺100 V substrate bias in each case. For both Ar
and C4F8 / 90%Ar, much less surface roughness introduction
is seen for P4VP than for PS and P␣MS. Additionally, less
surface roughness is seen for PS and P␣MS after processing
using Ar as compared to C4F8 / 90%Ar.
In Fig. 21共b兲, the time evolution of surface roughness is
shown as a function of plasma exposure time or polymer film
thickness removed.212 The conditions were C4F8 / Ar discharges produced in an ICP reactor, 800 W source power,
self-bias voltage of ⫺100 V, all 13.56 MHz, 10 mTorr, and a
total gas flow rate of 50 SCCM. Prior to plasma exposure all
films were smooth. P4VP films remained smooth, even after
the removal of nearly 100 nm of material. Bruce et al.212
proposed a possible explanation for the absence of surface
roughness for P4VP under plasma etching conditions that
produced significant roughness in PS and P␣MS. The explanation is based on the buckling model shown in Fig. 19, and
considers consequences of the interaction of VUV radiation
with the polymers 共see Fig. 22兲. Bruce et al.212 observed that
VUV radiation caused cross-linking and densification below
the ion-damaged layer in P4VP 共the evidence for this was
observed in a change of the nitrogen bonding environment兲,
whereas for P␣MS chain scissioning was seen. For PS, only
minor changes were induced by plasma VUV radiation. The
reduction in surface roughening for P4VP can be explained
010801-23 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
010801-23
FIG. 22. 共Color online兲 Proposed mechanism to explain the dependence of
plasma-induced surface roughening on polymer structure seen in Fig. 21,
and consistent with the buckling model of surface roughness initiation 共from
Ref. 212兲. Changes in mechanical properties of the polymer near-surface
layers are produced by both ion bombardment and VUV irradiation. For the
latter, scission or cross-linking reactions in the near surface region of the
polymer can either reduce 共b兲 or increase 共c兲 the elastic modulus of that
region, and change the magnitude of the stress due to the ion-damaged layer.
by the fact that for P4VP, the buckling instability that causes
plasma-induced roughness may be prevented by VUVinduced cross-linking. The latter increases the elastic modulus of the polymer region directly below the ion-damaged
layer 关see Fig. 22共c兲兴. For P␣MS, VUV-induced main-chain
scission reactions will weaken the region underneath the iondamaged layer and can increase buckling relaxation and surface roughness. This effect may be enhanced by production
of gaseous products that may be trapped in the subsurface
region of the polymer and enhance local stress. Overall, the
work of Bruce et al.9,212 indicates that when using polymers
as resists, considerations of changes in the mechanical properties between the ion/neutral-modified surface modified
layer, deeper lying, primarily VUV modified regions, and the
polymer bulk may be of fundamental importance for maintaining smooth surfaces during plasma etching.
C. Vacuum beam experiments
One disadvantage of conducting experiments in a plasma
environment is the difficulty in measuring and independently
controlling the species that impact the surface. To better understand the ways each of the plasma-generated species alters the PR surface, we also conducted in this collaboration
experiments in a vacuum chamber with external sources of
ions, VUV radiation, and electrons. For the results we report
here, only ions and VUV photon effects are included. The
vacuum beam system has been described in detail
previously.213 A base pressure of 5 ⫻ 10−8 Torr is maintained
in a stainless steel vacuum vessel. A 1 cm2 piece of PRcoated Si, mounted on a temperature-controlled stage, is introduced into the chamber through a load lock on a transfer
arm. Two sources of VUV radiation were used. The first is an
Oxford Applied Research ICP 共HD25兲, which is used with
argon. This source is bounded on one end by an aperture
plate with 276 0.2 mm diameter holes through which plasma
species exit first into a differentially pumped region, followed by the main vacuum chamber. Charged species are
deflected by electrodes at the exit of the source. A separate
set of analogous experiments was conducted using a calibrated Xe line source lamp with similar results. The results
presented here are from the original ICP source.
JVST B - Microelectronics and Nanometer Structures
FIG. 23. 共a兲 Chemical modifications of 193 nm PR after remote argon
plasma UV/VUV exposure including loss of C v O bonds. 共b兲 Loss of peak
at 1796 cm−1 corresponds to modification of top ⬃100 nm of material. 共c兲
Loss of C u O u C bonds is also observed. 共d兲 CH2 and CH3 stretching
region is nearly unaffected.
Argon ions are generated in a Kaufman ion gun 共Commonwealth Scientific兲 and accelerated to 150 eV. The sample
is rotated such that all experiments involving ions result in
normal incidence bombardment. An ion current of 2.8
⫻ 1014 ions/ cm2 s 共⫾10%兲 is used in these experiments, resulting in an ion fluence of 1.0⫻ 1018 ions cm−2 in 1 h. This
current is measured using a Faraday cup, which is translated
into the sample position. To prevent sample charging during
all ion beam exposures, the chamber includes a filament,
which emits low energy electrons when heated, resulting in
complete surface neutralization of any accumulated positive
charge from the ion gun exposure.
The PR materials studied here were the 193 nm
共⬃250 nm thickness, Tg ⬃ 180 ° C兲 shown in Fig. 2共a兲 and
the 248 nm PR 共⬃400 nm thickness, Tg ⬃ 100 ° C兲 displayed in Fig. 2共b兲, both spin coated onto Si wafers.
In the beam system, experiments were conducted with 共i兲
only ions impacting the substrate, 共ii兲 only photons, or 共iii兲
ions plus photons, with each exposure conducted at different
temperatures between 50 and 100 ° C. The effects of the
various exposure protocols on the photoresist samples were
characterized ex situ using FTIR 共Digilab FTS-3000兲, atomic
force microscopy, and scanning electron microscopy.
Figure 23 shows evidence for chemical modifications of
193 nm PR in the vacuum beam experiment, as measured
using ex situ FTIR, with normal incidence UV/VUV—only
共50 ° C兲 exposure as a function of exposure time. Ion bombardment had no significant effect on the FTIR spectra, but
at 100 ° C, some evidence of thermal degradation in the form
of preferential adamantyl group loss was observed, although
much less than the effects of UV/VUV exposure. Figure
23共a兲 共1675– 1850 cm−1兲 shows dramatic losses of C v O
bonds of the lactone group 共1796 cm−1兲 and peaks at 1720
and 1738 cm−1 associated with the ester linkages in 193 nm
PR. Figure 23共c兲 共1050– 1350 cm−1兲 shows similar changes
for C u O u C bonds of the ester linkages and the lactone
group. The loss of carbon-oxygen bonds saturates after
010801-24 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
010801-24
FIG. 25. 共Color online兲 Surface roughness of 193 nm PR 共a兲 and 248 nm PR
共b兲 after simultaneous UV/VUV and 150 eV Ar+ ion bombardment
共100 ° C兲 as observed with 1 ⫻ 1 ␮m2 AFM images. Surface roughness of
193 nm PR 共c兲 and 248 nm PR 共d兲 after 20 s argon plasma exposure. In both
cases, 193 nm PR is considerably rougher than equivalent exposures of 248
nm PR. Color scale noted on each image.
FIG. 24. 共Color online兲 Surface roughness of 193 nm PR after ion only
关共a兲–共d兲兴 and simultaneous ion+ UV/ VUV exposure 关共e兲–共h兲兴 from 50 to
100 ° C as observed with 1 ⫻ 1 mm2 AFM images. Ion bombardment results
in “pebbling” of the surface and is only weakly dependent on temperature.
Simultaneous ion+ UV/ VUV exposure is highly dependent on substrate
temperature resulting in very rough surfaces at 100 ° C. Color scale is 2.5
nm unless noted on the image. All line plots are on the same scale with a 5⫻
exaggerated vertical axis.
⬃2.5 h of UV/VUV exposure with the peak at 1796 cm−1
dropping approximately 30% during this time 关see Fig.
23共b兲兴.
VUV photons will promote chemical modification of
oxygen-containing polymers. VUV scissions carbon-oxygen
bonds associated with wavelengths greater than 160 nm and
breaks carbon-carbon bonds with wavelengths less than 160
nm. The photolysis reactions are each followed by possible
secondary reactions.14,214 The observed 30% drop in intensity of various carbon-oxygen bonds in the 250 nm thick 193
nm PR films corresponds to VUV photon-induced bond destruction to a depth of ⬃100 nm, which is consistent with
calculated penetration depths for a variety of polymers in this
spectral range.14 In contrast, the strong FTIR peaks associated with uCH3 and uCH2u stretching 关see Fig. 2共d兲,
2800– 3100 cm−1兴 show little change after UV/VUV exposure. UV/VUV—only exposure of 248 nm PR resulted in
few chemical modifications as seen by transmission FTIR
共not shown兲, probably due to the relatively low oxygen content of 248 nm PR polymers and efficient energy transfer of
its styrene-containing species.
It is known that rare gas ion bombardment of polymers
results in a modified near-surface layer, including a dramatic
drop in polymer sputter yield, chemical modification of the
near-surface region, and change in composition of etched
species as a function of ion fluence.178,215–217 XPS measurements of argon plasma and argon ion bombarded polymer
surfaces suggest that the surface becomes highly
cross-linked.178,218 Molecular dynamics simulations, described below, support this interpretation.
Figs. 24共a兲–24共d兲 are 1 ⫻ 1 ␮m2 AFM surface roughness
images with corresponding line plots after 4.0
⫻ 1017 Ar+ ions/ cm2 共150 eV; normal incidence兲 exposure
of 193 nm PR over a range of substrate temperatures
J. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
共50– 100 ° C兲. An ion fluence of 4.0⫻ 1017 ions/ cm2 is more
than sufficient to form the above-noted cross-linked surface
layer. The depth of this modified layer is expected to be on
the order of a few nanometers in depth, the approximate ion
penetration depth of 150 eV ions on the modified surface
layer, based on MD simulations, also described in greater
detail below.179,219 Initial surface roughness of the PR after
spin coating is ⬃0.3 nm rms and heating alone at 100 ° C
for 40 min has no effect on surface roughness. Figures
24共a兲–24共c兲 show “pebbling” of the PR surface, with a surface roughness of ⬃0.9 nm rms. When the substrate is
heated to 100 ° C and is ion bombarded, the surface roughness increases to 2.6 nm rms 关Fig. 24共d兲兴. Identical experiments on 248 nm PR resulted in minimal surface roughness.
Figures 24共e兲–24共h兲 show the AFM images of surfaces
resulting from simultaneous UV/VUV exposure and ion
bombardment of 193 nm PR over the same range of substrate
temperatures as during the ion-only exposures. The exposure
time for these samples is again 40 min. As noted above,
UV/VUV-only exposure of PR surfaces for 40 min at temperatures up to 100 ° C does not result in surface roughness
increase. The surface roughness of 193 nm PR during simultaneous UV/VUV and ion bombardment is temperature dependent with the greatest roughness observed at the highest
temperature examined 共i.e., 100 ° C兲. Clearly, the combination of ion bombardment, VUV exposure, and substrate heating results in the greatest increase in surface roughness.
Figures 25共a兲 and 25共b兲 are the AFM images of 193 nm
PR and 248 nm PR, respectively, after simultaneous ion
beam and UV/VUV exposure at 100 ° C. The corresponding
images for argon plasma exposure are shown in Figs. 25共c兲
and 25共d兲. Qualitatively, the results are the same: 193 nm PR
roughness is greater than that of 248 nm PR. Increasing the
temperature of the substrate during plasma exposure
共achieved by decoupling the electrode cooling from the
sample兲 results in an increased roughness of 193 nm PR
from 5.10 nm rms to 11.82 nm rms 共not shown兲. The increase in roughness of 248 nm is minimal: from 1.17 nm rms
to 2.03 nm rms.
SEM images of the films shown in Figs. 24共g兲 and 24共h兲
共193 nm PR exposed to ions and photons at 75 ° C and
010801-25 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
010801-25
FIG. 26. SEM images taken at 75 K magnification of simultaneous UV/VUV
and ion bombarded 193 nm PR at 75 ° C 共a兲 and 100 ° C 共b兲. SEM image of
argon plasma-exposed 193 nm PR 共c兲. Nanoscale roughness observed during
argon plasma has the same lateral scale of roughness developed during
simultaneous UV/VUV, ion bombardment, and heating in the beam system.
100 ° C, respectively兲 are shown in Figs. 26共a兲 and 26共b兲.
Figure 26共c兲 is the SEM image corresponding to the AFM
image of the plasma-exposed 193 nm PR in Fig. 25共c兲. The
SEM images suggest that the plasma-exposed sample has an
appearance closer to the beam-exposed sample maintained at
75 ° C. The SEM image shown in Fig. 26共c兲 appears similar
to images of 193 nm PR exposed to Ar-fluorocarbon plasmas
under high-bias power conditions.7,21
Summarizing, the results of the vacuum beam studies are
qualitatively consistent with the results of the plasma studies.
Vacuum beam studies suggest that PMMA-based 193 nm PR
roughening in plasmas is due, at least in part, to the combined, synergistic effects of VUV exposure and ion bombardment, with possible contributions due to heating. Interactions involving electron beams in addition to ion and UV/
VUV beams have been described by Chung et al.220
D. Molecular dynamics simulations of Ar+ ion-polymer
interactions
The effects of ion bombardment on polymer surfaces can
be profound, with implications for all plasma-based pattern
transfer processes that involve the use of polymer etch masks
in lithography and etching. The effects of ions on polymer
surfaces are known to depend on the energy, composition,
and angle of incidence of the ions, as well as on the structure
and composition of the polymer. During plasma treatment,
polymers will be exposed to energetic ions as well as other
species that can alter the surface composition and structure
of the polymer. In spite of the number of reports concerning
the observed effects of plasma exposure on polymers, relatively little detail is known of the atomic-scale mechanisms.
One technique that can help reveal details of ion-polymer
interactions is MD. All MD simulations reported here utilize
the reactive empirical bond order potential published by Sinnott et al.221 van der Waals 共vDW兲 interactions are not included in these simulations. The possible effects of the exclusion of vDW on the simulation results were discussed in
detail in previous MD studies of hydrocarbon polymers.222
“Ions” referred to here are, in fact, fast neutrals, as the ions
are assumed to recombine as soon as they impact the
surface.222 The construction of the polymer cell and the
methods of the MD technique are very similar to those discussed previously.222 All of the MD simulations herein utiJVST B - Microelectronics and Nanometer Structures
FIG. 27. 共Color online兲 Side view images of 共a兲 virgin polymer and 共b兲
steady-state surfaces after 15⫻ 1016 Ar/ cm2 Ar+ fluence PMMA polymers.
To form image 共b兲 from image 共a兲, 16 nm of materials were removed. 共c兲
Depth profiles of C, H, and O atom density. C—red, H—black; O—green.
lize a similar starting surface. Initially, for all polymers, the
surface consists of 9 chains of 20 monomer units. The initial
lateral spacing between chains is selected to give the polymer a bulk density close to that for commercially available
samples. Periodic boundary conditions are employed in the
lateral dimensions to mimic a larger surface. The bottom two
monomer layers are held fixed, but as the polymer is sputtered away, additional material can be added to the bottom of
the cell 共at which point the previously fixed layer becomes
“active”兲, such that a minimum of 3500 atoms is maintained
in the cell throughout the simulation.
For all of the studies carried out here, the temperature of
the surface is set at 300 K. The initial surface is allowed to
equilibrate for 20 ps at this temperature to relax the initial,
regular chain structure before ion bombardment is begun.
Ar+ ions are brought in at random lateral locations above the
surface and are directed downward with normal incidence.
The ion and all atoms are tracked for a maximum of 10 ps
for every impact. The tracking time is adjusted to ensure that
the Ar+ ion has sufficient collisions with the surface to dissipate the majority of its energy into the surface. All Ar+ are
tracked until they scatter from the surface or have less than 1
eV energy remaining. At the end of each impact, any remaining Ar+ and sputtered products leaving from the surface are
removed. The cell is cooled back to 300 K by coupling to a
Berendsen-type heat bath.223 The next impact is then begun
and this cycle is repeated for several thousand impacts.
Through this method, we can catalog the products that are
evolved during ion bombardment, as well as track the
changes to the surface over the course of exposure to experimentally relevant ion fluences.
We chose to examine PMMA as a typical O-containing
hydrocarbon polymer, and because 193 nm photoresist is
based on a PMMA structure. Figure 27 shows the initial 共a兲
and final 共b兲 cell configuration for the PMMA polymer surface. After approximately 1.5⫻ 1017 cm−2 ion fluence, a
steady-state sputtering regime was reached. The plot in Fig.
27共c兲 clearly illustrates the formation of a damaged layer in
010801-26 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
FIG. 28. SY 共Eq. C removed per Ar ion兲 vs ion fluence from MD simulation
of p-MAMA polymer and experimental data from 193 nm photoresist. Ar
+ ion energy is 100 eV. 共#equivalent C兲 = 共#C atoms兲 + 共1.007/ 12.011兲
⫻共#H atoms兲 + 共16/ 12兲共#O atoms兲.
the near-surface region. This damaged layer is rich in C and
has very few O and H atoms. It is similar to previous MD
simulations of HC polymers where a dense, heavily crosslinked, dehydrogenated damaged layer formed under Ar+
bombardment.179 The typical thickness for the damaged layer
under 100 eV Ar+ bombardment is ⬃2 nm. Both O and H
removal rates are high when ion bombardment is initiated. In
the final surface for PMMA, the O:C and H:C ratios drop
from 0.4 to 0.18 and from 1.6 to 0.15, respectively. Just
below the damaged layer, O atom concentration is found to
be higher than that for the bulk, virgin polymer.
Companion experimental beam system studies were carried out with 150 eV Ar+ ion energy on commercial 193 nm
PR studies.213 In the beam experiments, 1 cm2 samples were
first formed by spin casting PR onto a quartz crystal microbalance 共QCM兲 substrate, and then baked to remove the
solvent. Films were initially about 300 nm thick. The QCM
was exposed at normal incidence to a beam of 150 eV Ar+
共current density measured separately via Faraday cup兲 and
the sputter yield was determined by the film mass loss and
ion current density. The photoresist is PMMA based and consists of roughly 40% PMMA. Figure 28 shows the measured
and simulated sputter yield SY as a function of fluence for
p-MAMA, after correcting for the difference in ion energy
assuming sputter yield varies as the square root of ion energy. The initially high yield indicates ion-enhanced formation of volatile products from the polymer surface region that
is still hydrogen-rich at that stage. We see a good quantitative
match between the initial yields from MD and experiment,
and reasonable qualitative agreement in the transition to
steady-state. There are some qualitative differences in the
initial transient 共i.e., when the SY begins to drop initially in
MD versus experiment兲. Possible causes for this were discussed in detail elsewhere.219
E. Intrinsic roughening of polymer materials: Scaling
approaches and experimental tests
For roughening studies of resist surfaces during plasma
etching described above, we find that the rate of roughness
amplitude increase and the characteristic lateral length scales
J. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
010801-26
depend on a number of parameters, including the resist composition, the etching gas composition, and the plasma operating conditions. A number of potential mechanisms might
be responsible for roughening of the plasma/resist interface
during etching. These can be broadly separated into two basic classes: intrinsic and extrinsic 共the latter impurity and
polymer structure related兲. Below we briefly review the work
done to date to model the former class and evaluate the potential of the scaling approach to describe plasma-induced
roughening of polymers. For this the reader is also referred
to the review by Gogolides.135
In general terms, roughening can be considered as an example of instability in the plasma/resist interface as it propagates. The propagation of the interface requires mass transport, both of reactants to the existing interface, and reacted
products away. In addition local chemical potential variations
across the interface can drive mass transport as well. The
system is driven out of equilibrium: vertical chemical and
electrical potential gradients drive the diffusion of reactive
chemical species and acceleration of ionized species toward
the interface, where there is some probability of reaction.
Reacted species desorb with some probability, and the interface moves locally downward, i.e., toward the bulk of the
resist. In these models, the stochasticity of the various steps
results in instantaneous atom/molecule scale roughness.
Smoothing of this roughness is driven by the lateral diffusion
of reactants and relaxation of resist molecules across the interface. There is thus a competition between the vertical motion of the interface, and the lateral diffusion/relaxation,
which kinetically determines the steady-state topography of
the interface. It is well known that there are effects in such a
system, which can lead to a true instability, in which an
instantaneous topographical perturbation amplifies, rather
than decaying as the interface propagates. The prototypical
example, studied by Mullins and Sekerka, occurs during the
solidification of alloys.224
A common approach toward modeling processes such as
growth and etching quantitatively involves continuum height
equations. The time rate of change of the height of the surface is written as an expansion in powers of the local height
gradient and local higher-order gradients; physically, this is
due to correlations in the heights of neighboring sites on the
surface due to both energetic and kinetic considerations.225
Terms are included if they are consistent with the symmetry
of the processes by which atoms are added in the case of
growth or removed in the case of etching. Contact with
physical models involves associating each term in the equation a microscopic effect. A limitation of this approach is that
the number of terms, which must be included is small only in
the limit of relatively flat interfaces, and indeed most theoretical treatments of kinetic roughening growth225–227and
etching228,229 have been aimed at understanding the
asymptotic time, long wavelength statistical behavior of the
surface. In these treatments, roughness generally evolves
from stochastic effects and kinetics, and energetic effects
010801-27 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
such as those arising from elasticity are usually not included,
although as we discussed in a prior section, such effects can
be dominant.
As an example of such an approach, consider the related
case of ion sputter erosion of a surface, which is one of the
principal processes that occurs during reactive ion etching of
a polymer. Sputtering of noncrystalline materials at nonnormal incidence often results in spontaneous pattern formation or “rippling.”230 This phenomenon was shown to be consistent with the competition between two terms in the height
equation:
冋
册
⳵h
⳵h
⳵h
= ␯x 2 + ␯y 2 − Kⵜ4h,
⳵x
⳵y
⳵t
2
2
共28兲
where the first term represents an instability due to a curvature dependence of the sputtering yield, due to increased energy deposition at troughs in corrugations, and the second
term represents relaxation at short wavelengths due to ioninduced viscous flow. Linear stability analysis based on this
height equation predicts a most unstable wavelength, which
depends on the incident flux, the sputtering yield, the surface
tension, and the ion-induced viscosity.136,230,231 Nearly all experimental observations of ripple formation during ion sputtering have been for “hard” materials,230,232–236 although Pargon et al.169 and Koval93 observed similar phenomena for
193 nm PR polymer.
While the simplest phenomenological models approximate the evolution of the surface during plasma etching in
terms of the local values of powers of the height gradient and
higher-order height gradients, there can be nonlocal contributions to the etch rate as well. Singh et al.237,238 and Drotar
et al.228 considered a specific mechanism, which produces
nonlocal effects in which ions or radicals from the plasma
can impinge on the surface multiple times, and re-emission
competes with etching,
⳵h
= ␯ⵜ2h − Kⵜ4h − 冑1 + 共ⵜh兲2
⳵t
冋兺
i
册
siFi共rជ,t兲 + ␩ .
共29兲
The first term corresponds to evaporation and condensation,
the second to surface diffusion or viscous flow and the last
term to re-emission, with si the probability of etching on the
ith interaction, and Fi共rជ , t兲 the flux distribution after the ith
interaction. The last term is stochastic noise. The detailed
evolution of the surface in this model depends not only on
the initial energy and direction of the ions/radicals but on the
energy and angular distribution of re-emitted particles, and
the existing topography. Drotar et al.228 reported that direct
integration of the corresponding height equation was only
possible for relatively small system sizes, and as a result they
relied on Monte Carlo simulations to explore the nature of
the evolution in the asymptotic time/long wavelength limit.
To allow for a statistical description of the topography of
a surface during etching or growth, it is common practice to
define the height-height correlation function,
JVST B - Microelectronics and Nanometer Structures
010801-27
H共rជ储,t兲 ⬅ 具关h共rជ储 + ជr⬘储 ,t兲 − h共rជ⬘储 ,t兲兴2典.
共30兲
Here h共rជ⬘储 , t兲 is the local height at lateral position rជ⬘储 and time
t, and 具 典 denotes a spatial average over all lateral separations
rជ⬘储 . Based on analogy with the theory of phase transitions,239
it is often assumed that this correlation function shows scaling behavior225,226 for a range of lateral separations and can
be written in the form
H共r,t兲 ⬅ 2关w共t兲兴2 f
冉 冊
r储
.
␰共t兲
共31兲
Here w共t兲 is the root mean square roughness of the surface,
共the width of the plasma solid interface兲, and the function f
shows scaling dependence for small r储 but saturates at large
separations:
f
冉 冊冉 冊
r储
r储
=
␰共t兲
␰共t兲
Ⰷ ␰共t兲.
2␣
,
r储 Ⰶ ␰共t兲,
f
冉 冊
r储
= 1,
␰共t兲
r储
共32兲
The exponent ␣ is referred to as the “roughness exponent,”
and ranges from 0 to 1, with 1 corresponding to a very rough
interface. The characteristic distance ␰ is referred to as the
correlation length and is a measure of the lateral separation
beyond which the heights of different points on the surface
are uncorrelated. These models assume that both the interface width and the correlation length grow with power law
dependences on etching or growth time: ␰共t兲 ⬀ t␤, w共t兲 ⬀ t1/z.
The three “critical” exponents ␣, ␤, and 1 / z determine the
“universality class” of the etching or growth model, and depend on the underlying symmetries associated with the individual processes by which material is removed, added, or
rearranges on the surface. Scaling theory predicts that they
are related by
z = ␣/␤ .
共33兲
Drotar et al.228 used the results of their Monte Carlo simulations to predict asymptotic time, long wavelength values for
the three exponents in the nonlocal flux redeposition model
for etching for a number of different combinations of incident and re-emitted fluxes. Their results suggest that all three
exponents approach 1 at long times: ␣ ⬇ ␤ ⬇ z ⬇ 1. Their
simulations indicated a significant difference in the amount
of etching time required to reach the limit where these exponents are reached for different combinations of incident and
re-emitted flux angular distributions.
There are at least a couple of disadvantages to the scaling
theory approach outlined above from the point of view of
etching of resist polymers. The fact that simple scaling models do not consider the formation of one or more inhomogeneous layer共s兲 at the polymer surface during plasma etching
is a disadvantage. A second problem is that the predictions
are for the asymptotic time/long wavelength limit. Arguably
it is the transient, early time regime, which is most relevant
technologically and, as seen in work reported in other sections, dominant in establishing surface templates that control
subsequent surface roughening. Unfortunately knowing the
critical exponents will generally not be sufficient to predict
010801-28 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
010801-28
FIG. 29. 共a兲 Logarithm of height-height correlation functions vs logarithm of distance, calculated from AFM topography maps for P␣MS etched in
C4F8 / 90%Ar; etching times are indicated to the right of each curve 共from Ref. 240兲. The slope in the small distance regime gives twice the scaling exponent,
i.e., 2␣. Also indicated are the correlation length ␰, and twice the square of the rms roughness, i.e., 2w2. 共b兲 Roughness exponent ␣ estimated by fitting the
height correlation functions to the form suggested by Sinha et al. 共Ref. 241兲 Open circles are for P␣MS; closed circles are for PS; open squares are for P4MS;
all are etched in C4F8 / 90%Ar. Dashed line shows ␣ = 1, as predicted by the flux reemission model.228 共c兲 Logarithm of rms amplitude vs logarithm of etching
time t for P␣MS etched in C4F8 / 90%Ar. Based on scaling theory, the exponent ␤ is given by the long-time slope of this plot, 0.53⫾ 0.02, compared with a
value of approximately 1 predicted by the flux reemission model 共Ref. 228兲. 共d兲 Logarithm of correlation length ␰ vs logarithm of etching time t for P␣MS
etched in C4F8 / 90%Ar. Based on scaling theory, the exponent 1 / z is given by the long-time slope of this plot, 0.20⫾ 0.02, compared with a value of
approximately 1 predicted by the flux re-emission model. 共e兲 Comparison of measured values of 1 / z and ␤ / ␣ for PS 共left bars兲, P␣MS 共middle bars兲, and
P4MS 共right bars兲 etched in C4F8 / 90%Ar.
the transient behavior. In addition, there are nearly no experimental studies, which have been done to test the validity of
these models in describing the roughening of resist polymers
during etching. Results of investigations by Kwon et al.240
on reactive ion etching of poly alpha-methyl styrene in
C4F8 / 90%Ar are shown in Fig. 29. In Fig. 29共a兲 examples of
the height-height correlation function are shown. After 60 s
of etching showed a roughness exponent ␣ approximately
equal to 1, in agreement with the predictions of the flux
re-emission model228 关see Fig. 29共b兲兴. In Fig. 29共c兲, the logarithm of rms amplitude versus logarithm of etching time t for
P␣MS etched in C4F8 / 90%Ar is shown. According to scaling theory, ␤ is given by the long-time slope of this plot,
0.53⫾ 0.02. This is different from a value of approximately 1
predicted by the flux reemission model.228 In Fig. 29共d兲, the
logarithm of the correlation length ␰ versus logarithm of
etching time t for P␣MS etched in C4F8 / 90%Ar is shown.
The exponent 1 / z is given by the long-time slope of this plot,
0.20⫾ 0.02, according to scaling theory, different from a
value of approximately 1 predicted by the flux reemission
model. Finally, Fig. 29共e兲 compares measured values of 1 / z
and ␤ / ␣ for PS 共left bars兲, P␣MS 共middle bars兲, and P4MS
共right bars兲 etched in C4F8 / 90%Ar. For all materials, the
values of 1 / z and ␤ / ␣ are well below the predicted value of
1. In addition, the predicted relation between them given in
Eq. 共33兲 is not satisfied 共see Fig. 29兲. This may be an indication that the asymptotic limit had not been reached, or
J. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
more generally, that the simple scaling model discussed
above does not apply to plasma etching of the model polymers for the conditions studied.
IV. DEVELOPMENTS AND OTHER APPLICATIONS
OF PLASMA-POLYMER SURFACE
INTERACTIONS
Both photoresist materials and process flows involving
PR masks and pattern transfer by plasma etch aimed at the
32 and 22 nm nodes have become significantly more complex than prior technology generations because of several
important developments. One complication originates in the
need to control interaction of the PR mask with liquid for
immersion lithography 共IL兲. This is addressed by hydrophobic surface modifications of the PR materials, which strongly
impacts subsequent plasma etch performance of the modified
PR 共see below兲. A second complication is due to the fact that
pitch shrinking has outpaced reductions possible with traditional and/or immersion lithography. This need has been addressed by introduction of double 共or multi-兲 exposure and
double 共or multi-兲 etch 共DE2 or ME2兲 process sequences that
are required for 32 nm node, 22 nm node and beyond 共see
the paper by Colburn et al.242兲.
The extension of current patterning approaches involves
further developments of photolithography and extreme
ultraviolet,243 and also increasingly organic mask patterns
010801-29 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
produced
using
soft
lithography,244,245
e.g.,
246,247
248,249
nanoimprint,
and step-and-flash
microcontact,
imprint250 lithography, along with block copolymer
self-assembly251,252 and other advanced lithographic techniques. In each case, an organic film-based image is produced which is transferred by plasma etching techniques into
semiconducting, dielectric, metallic, and other materials.
A key point is that molecular design of organic masking
materials for emerging nanolithographic approaches that may
replace photolithography in specific applications will no
longer constrained by optical transparency. This profound
change provides the opportunity to use insights on plasmapolymer interactions for the design of organic masking materials and plasma processes to enable greatly improved resist mask stability in plasma environments.
Below we briefly discuss areas of current activity, where
the results of the above descriptions will be relevant.
A. Developments of photolithography and EUV
Surface pretreatments for smoothing and etch resistance.
To improve plasma durability of 193 nm and EUV resists,
pre-treatments of the resists have been investigated, along
with changes in the plasma processes. For instance, reflow
processes induced by ion bombardment253 or by heating the
resist materials above the glass transition temperature,254 hydrogen addition,255 and precuring processes123,256 have been
examined. Plasma pretreatments 共cure兲 of 193 nm PR materials without application of a bias, e.g., HBr treatments, have
also improved performance during the subsequent high-bias
plasma etching step.125 These treatments of PR layers and
structures following lithographic exposure and prior to
plasma etching are aimed at increasing plasma etch durability of PR materials and structures, improving resulting profile shapes in the etched underlayer and reducing line width
roughness. Pargon et al.125 analyzed 193 nm PR materials
cured in HBr and Ar plasma in detail, and observed that the
plasma VUV photons removed ester and lactone groups from
the resist bulk. Photon radiation from HBr plasma 共160–170
nm兲 was found to chemically modify the entire thickness
共240 nm兲 of a photoresist film, whereas the modifications
due to emission from Ar plasma 共photon wavelength
⬃105 nm兲 was more limited, likely due to reduced penetration depth. Pargon et al.125 also observed formation of sp2
carbon atoms in the resist bulk after HBr plasma cure treatment.
Immersion lithography. IL refers to the use of water or
another liquid between the final lens of the optical system
and the photoresist to improve resolution to smaller
CD.257–259 Current approaches for preventing water interaction with IL PR chemistry either use a top coat hydrophobic
layer or PR additives to create a thin hydrophobic layer
within the IL PR. Since in the top coat route, the top coat is
removed prior to the plasma etch process, its influence on
plasma etching behavior may be small. The basic chemistry
of many proposed IL resist materials, e.g., involving fluorination, is poorly understood.260,261 Fluorination of resist materials is a significant change from previous technologies
JVST B - Microelectronics and Nanometer Structures
010801-29
and, as shown previously,262 affects etch chemistry both at
the atomistic scale and the macroscale. Such approaches
complicate plasma etch pattern transfer, since in either case
the polymer is modified by the additives—either at the surface or throughout the film. In particular, the additive route is
more complex from a plasma etch perspective because the
additive will be present in different concentrations depending
on the depth of the film 共due to transport limitations into the
polymer兲.
EUV. Extreme ultraviolet lithography is being developed
for use in advanced semiconductor device production.243 For
the strongly reduced wavelength in EUV lithography 共13.5
nm兲, atomic ionization and plasma generation become important loss mechanisms, rather than molecular excitation or
ionization at longer wavelengths.263 At EUV wavelengths,
unlike at optical lithographic wavelengths, transparency no
longer varies strongly with molecular structure, but primarily
depends on the atomic constituents. Optical transparency
will be less important in the choice of polymers,263–266 and
factors such as photon sensitivity, line edge roughness, and
plasma etch resistance will become important selection criteria. This likely represents an area where the insights on the
dependence of plasma-induced surface roughness of polymer
structures can be employed.
B. Soft lithography and self-assembly
Soft lithography. Soft lithography267 may involve “bilayer” imaging processes, which depend on patterned layers
of organosilicon polymers serving as masks for anisotropic
oxygen etch transfer of the pattern in the organosilicon material through an underlying organic layer to create high resolution, high-aspect ratio imaging structures.268 The amount
of silicon in the organosilicon material required to achieve a
satisfactory etch rate ratio against an aromatic polymer such
as novolac or polyimide is generally accepted to be approximately 12 wt% based on relative etch rate measurements that
have been made in several plasma etching tools. The effect
of the nature Siu O-bonding in the silicon polymer on oxygen etch resistance and surface roughness has been studied
by Bruce et al.156
Resist masks produced by self-assembly. Self-assembly is
a powerful strategy for nanostructure fabrication.269,270 Selfassembled soft organic materials, e.g., block copolymers,
surfactants, and proteins, have received significant attention
as enabling nanofabrication technologies.271,272 Using selfassembled block copolymer systems, different mask morphologies can be produced, which depend on the relative
length, composition, and degree of interaction of the blocks,
as well as block copolymer film thickness and its corresponding interfacial 共substrate and air兲 interactions.273,274
Such block copolymer thin films have been used as lithographic masks in a variety of nanopatterning
applications251,275–280 based on a number of block
copolymer
systems,
including
polystyrene-bpolyisoprene, polystyrene-b-polymethylmethacrylate, and
polystyrene-b-polyferrocenyldimethylsilane. Despite the
simplicity and symmetric nature of the patterns produced, the
010801-30 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
resulting substrates consisting of dense periodic arrays of
sub-100 nm holes, posts or lines, are valuable for a number
of emerging technologies, including ultrahigh density magnetic data storage, quantum dots for detectors or lasers, and
ultrasensitive biochemical sensors.
During the past decade, significant effort has been devoted to the understanding and control of long-range domain
alignment in block copolymer thin films 共for instance, see
Refs. 252 and 281–284兲. A number of studies have focused
on understanding plasma/block copolymer mask interactions.
This includes development of plasma etching approaches for
pattern transfer of block copolymer masks into ultrathin SiO2
or SixNy layers.285–287 These nanostructured SiO2 or SixNy
layers are usually less than 25 nm thick and are often used as
sacrificial masks for further pattern transfer into other layers/
substrates, e.g., silicon. They often exhibit nonideal profile
shapes, e.g., tapered 共nonperpendicular兲 wall profiles, and for
many applications, e.g., selective area or templated growth
of high-aspect ratio semiconductor or metallic nanowires, the
ability to produce thicker 共⬎100 nm兲 templates in silicon
dioxide or silicon nitride films would be advantageous.288
Increasing the aspect ratio of the holes or trenches is a significant challenge and would be an important advance.
C. Relevance to other applications of plasma-polymer
surface interactions
The interaction of low pressure nonequilibrium plasma
with polymer surfaces is also required in a very large number
of technological applications aimed at modification of surfaces, deposition, or etching of polymer films.15,289 For instance, polymers typically have low surface energy, which
can lead to poor interfacial adhesion strength with other
materials.13 The polymer surface reactivity can be increased
by surface activation/functionalization pretreatments by interaction with low temperature plasma 共see, for example,
Ref. 13兲. Control of adhesion covers industrial production of
meter-scale to nanometer-scale products13,290–293 with an example of the former being the treatment of plastics for
packaging294 and optical coatings of polymers295,296 for windows and optical appliances, while an example of the latter is
surface preparation for nanotransfer printing where the transfer of a patterned thin-film 共printable layer兲 from a “transfer”
substrate to a “device” substrate297 can be enabled by plasma
treatment of surfaces to activate these.298,299 These industrial
uses are driven by the attractive bulk properties of polymers,
i.e., low weight, flexibility, and compatibility with advanced
fabrication methods, e.g., low-cost roll-to-roll processing.
Low-temperature plasma-based surface modification is compatible with the low thermal stability of polymers and affects
only near-surface properties. The introduction of polar functional groups at polymer surfaces can render these hydrophilic and enhance printing characteristics.300 Another wellknown plasma-induced surface modification of polymers is
fluorination which renders polymer surfaces more hydrophobic 共see, e.g., Refs. 301 and 302兲. Formation of organic/
inorganic thin-film interfaces are also required for flexible
displays, sensors, photovoltaic devices, and other devices/
J. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
010801-30
applications that are part of the development of the organic
electronics industry based on flexible substrates.303
Additional applications to which this review is relevant
include plasma-based synthesis of organic and polymerized
materials in plasma environments used as a protective, barrier, biocompatible, and optical coatings or other possible
functions.289,304–306 The requirements can be significantly
different from the considerations discussed here, although
certain aspects may be similar, e.g., control of ion-induced
mixing or interaction of VUV photons with the synthesized
films. Remote and pulsed afterglow discharges can be used
to address these concerns.
V. CONCLUSIONS
The surface/near-surface chemical and morphological
properties of polymers and polymer nanostructures exposed
to plasma can be dramatically altered by the plasma-polymer
interaction. The changes induced depend in a characteristic
fashion on polymer structure/composition and the nature of
the reactive plasma the polymer is in contact with, in particular, bombarding species, their energies, and neutral radicals,
along with the UV/VUV photon flux. While a tremendous
number of observations are available in the literature, they
are frequently disconnected. They are frequently disconnected and apply to significantly different situations. Available data and comparisons indicate that plasma-induced surface and sidewall 共or line edge兲 roughness formed in
polymers are directly related, and that these are replicated
during plasma etching at the sidewall of etched features.
A study of the behavior of 193 nm photoresist related
model polymers and 248 nm styrene-based photoresist polymers during contact with typical fluorocarbon/Ar plasma
etching processes has shown that for a given polymer structure, the surface roughening rate depends linearly on the energy density at the polymer surface for different discharge
conditions. Surface roughness is introduced at a timescale of
the order of a few seconds, followed by the growth of the
amplitude of SR features at time scales of the order of tens of
seconds.
Comparison with exposure to vacuum beam 共separate or
simultaneous ionic and VUV exposure兲 has clarified that the
capacity of UV/VUV radiation produced by plasma to deeply
modify the molecular structure of the photoresist polymer is
essential to surface roughening behavior. The formation of
the UV/VUV modified layer is highly polymer structure and
radiation wavelength dependent and can extend to a depth of
100 nm from the surface or greater. For the adamantyl structure of 193 nm PR polymers, elimination of oxygencontaining polymer pendant groups, e.g., loss of C v O, is
seen and leads to a weakening of the polymer structure by
chain scission. This region, in conjunction with the stiff damaged layer produced by ion bombardment, leads to severe
surface roughness formation for 193 nm PR.
In contrast, UV/VUV irradiation produces minor compositional changes of 248 nm PR or styrene-based polymers,
and only a modest increase in surface roughness is seen that
is characteristic of ion beam only exposures.
010801-31 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
A new insight into the cause of plasma-induced roughening of resists comes from the compelling observation by
Bruce et al. that characteristic lateral 共␭兲 and vertical 共A兲
scales of measured surface roughness data are comparable to
values derived from elastic buckling theory in the small displacement limit both below and above the glass transition
temperature Tg of PS, which is suggestive of a mechanical
stress origin of plasma-induced surface roughness. Initial introduction of surface roughness in Ar plasma is based on 共a兲
the formation of a highly stressed, amorphous carbon-like
damaged layer at the surface of the polymer produced by
high energy ion bombardment, with an extent that is given
by the range of energetic ions, and is of the order of
⬃1 – 3 nm for typical ion energies, and depends relatively
little on 共hydrocarbon兲 polymer structure, and 共b兲 lowering
of the mechanical energy of the stiff stressed layer on the
compliant polymer underlayer by stress-induced buckling
once the stress values increase above the critical stress. This
model can explain the observation of rapid introduction of
initial surface roughness, which is comparable to the time
scale of establishing the ion-damaged layer 共of the order of
seconds for typical discharges兲. It also explains why the
characteristic scale of surface roughness features is large
relative to the size of polymer molecules. Changes in mechanical properties of the ion-damaged layer and polymer
underlayer, e.g., due to VUV-induced effects, can lead to
differences in roughening for specific polymer materials. For
specific polymers, e.g., P␣MS, main chain scission takes
place 共and enhanced surface roughness is seen兲, whereas for
related polymers, e.g., PS and P4VP, cross-linking is seen as
a result of UV/VUV irradiation 共and reduced or negligible
surface roughness are seen兲. For certain process conditions,
the ion-damaged layer may be minimized, e.g., oxygen
plasma etching, in which case plasma exposure can lead to
smoothing.
ACKNOWLEDGMENTS
The authors thank S. Engelmann, R. L. Bruce, F. Weilnboeck, T. Kwon, T.C. Lin, H.-C. Kan, X. Hua, L. Ling, M.
Sumiya, D. Nest, J. J. Vegh, T.-Y. Chung, B. Long, P.
Lazzeri, and M. Anderle for their collaboration and contributions to the work described. They also would like to express
their gratitude to S. Engelmann, R. L. Bruce, and F. Weilnboeck for a critical reading of this article and helpful suggestions. Some of the research described benefited greatly from
collaboration with C. Grant Willson, University of Texas,
Austin, TX 78712 and Azar Alizadeh, Polymer Division,
General Electric Global Research Center, Niskayuna, and the
authors express their appreciation for the wonderful cooperation. They also like to acknowledge the generosity of the
Dow Chemical Company 共Rohm & Haas Electronic Materials兲 for supporting part of this work, and they specifically
thank Y. C. Bae, C. Andes, D. Wang, and Minqi Li for their
unwavering partnership. They thank E. A. Hudson of Lam
Research Corporation for strong collaboration over many
years. The authors gratefully acknowledge the financial support of this work by the National Science Foundation under
JVST B - Microelectronics and Nanometer Structures
010801-31
Award Nos. DMR-0406120, DMR-0705953, and NIRT CTS0506988.
G. M. Wallraff and W. D. Hinsberg, Chem. Rev. 共Washington, D.C.兲 99,
1801 共1999兲.
2
International Technology Roadmap for Semiconductors, 2009 edition,
www.itrs.net.
3
H. Ito, IBM J. Res. Dev. 45, 683 共2001兲.
4
T. Kajita et al., Proc. SPIE 4345, 712 共2001兲.
5
Stephen A. Campbell, Fabrication Engineering at the Micro and Nanoscale, 3rd ed. 共Oxford University Press, New York, 2007兲.
6
James D. Plummer, Michael D. Deal, and Peter B. Griffin, Silicon VLSI
Technology: Fundamentals, Practice, and Modeling 共Prentice-Hall,
Englewood Cliffs, NJ, 2001兲.
7
S. Lassig and E. Hudson, Solid State Technol. 45, 47 共2002兲.
8
Y. Hsu, T. E. F. M. Standaert, G. S. Oehrlein, T. S. Kuan, E. Sayre, K.
Rose, K. Y. Lee, and S. M. Rossnagel, J. Vac. Sci. Technol. B 16, 3344
共1998兲.
9
R. L. Bruce et al., J. Appl. Phys. 107, 084310 共2010兲.
10
A. Yamaguchi, H. Fukuda, H. Kawada, and T. Iizumi, J. Photopolym. Sci.
Technol. 16, 387 共2003兲.
11
G. S. Oehrlein, Surf. Sci. 386, 222 共1997兲.
12
G. S. Oehrlein, M. F. Doemling, B. E. E. Kastenmeier, P. J. Matsuo, N. R.
Rueger, M. Schaepkens, and T. E. F. M. Standaert, IBM J. Res. Dev. 43,
181 共1999兲.
13
E. M. Liston, L. Martinu, and M. R. Wertheimer, J. Adhes. Sci. Technol.
7, 1091 共1993兲.
14
F. E. Truica-Marasescu, Ph.D. thesis, Université Montréal, 2005; F. E.
Truica-Marasescu and M. R. Wertheimer, Macromol. Chem. Phys. 206,
744 共2005兲.
15
C. M. Chan, T. M. Ko, and H. Hiraoka, Surf. Sci. Rep. 24, 1 共1996兲.
16
H. Kawahira et al., Proc. SPIE 6153, 615319 共2006兲.
17
F. Weilnboeck et al., J. Vac. Sci. Technol. B 28, 993 共2010兲.
18
Introduction to Microlithography, edited by L. F. Thompson, C. G. Willson, and M. J. Bowden 共American Chemical Society, Washington, DC,
1983兲.
19
L. F. Thompson, C. G. Willson, and M. J. Bowden, Introduction to Microlithography, 2nd ed. 共American Chemical Society, Washington, DC,
1994兲.
20
T. H. Fedynyshyn, R. R. Kunz, R. F. Sinta, R. B. Goodman, and S. P.
Doran, J. Vac. Sci. Technol. B 18, 3332 共2000兲.
21
S. Engelmann et al., J. Vac. Sci. Technol. B 25, 1353 共2007兲.
22
H. Ito, IBM J. Res. Dev. 41, 119 共1997兲.
23
E. Reichmanis et al., J. Vac. Sci. Technol. B 15, 2528 共1997兲.
24
E. Reichmanis, O. Nalamasu, and F. M. Houlihan, Acc. Chem. Res. 32,
659 共1999兲.
25
T. Yamaguchi, H. Namatsu, M. Nagase, K. Yamazaki, and K. Kurihara,
Appl. Phys. Lett. 71, 2388 共1997兲.
26
H. Namatsu, M. Nagase, T. Yamaguchi, K. Yamazaki, and K. Kurihara, J.
Vac. Sci. Technol. B 16, 3315 共1998兲.
27
Q. Lin, R. Sooriyakumaran, and W. Huang, Proc. SPIE 3999, 230 共2000兲.
28
T. Sakamizu and H. Shiraishi, Microelectron. Eng. 61–62, 763 共2002兲.
29
M. Yoshizawa and S. Moriya, J. Vac. Sci. Technol. B 18, 3105 共2000兲.
30
M. Ryoo, S. Shirayone, H. Oizumi, N. Matsuzawa, S. Irie, E. Yano, and
S. Okazaki, Proc. SPIE 4345, 903 共2001兲.
31
A. Yoshida, Y. Kojima, K. Matsuoka, Y. Tomo, I. Shimizu, and M. Yamabe, Microelectron. Eng. 53, 313 共2000兲.
32
W. Henke and M. Torkler, J. Vac. Sci. Technol. B 17, 3112 共1999兲.
33
L. E. Ocola, P. A. Orphanos, W.-Y. Li, W. Waskiewicz, A. E. Novembre,
and M. Sato, J. Vac. Sci. Technol. B 18, 3435 共2000兲.
34
M. A. Lieberman and A. J. Lichtenberg, Principles of Plasma Discharges
and Materials Processing, 2nd ed. 共Wiley, New York, 2005兲.
35
J. E. Spencer and R. A. Borel, J. Electrochem. Soc. 133, 1922 共1986兲.
36
S. Fujimura, K. Shinagawa, M. Nakamura, and H. Yano, Jpn. J. Appl.
Phys., Part 1 29, 2165 共1990兲.
37
B. E. E. Kastenmeier, G. S. Oehrlein, J. G. Langan, and W. R. Entley, J.
Vac. Sci. Technol. B 18, 2102 共2000兲.
38
K. Nojiri, E. Iguchi, K. Kawamura, and K. Kadota, Extended Abstract of
the 21st Conf. on Solid State Devices and Materials 共Business Center for
Academic Society of Japan, Tokyo, 1989兲, p. 153.
39
R. A. Gottscho, C. W. Jurgensen, and D. J. Vitkavage, J. Vac. Sci. Technol. B 10, 2133 共1992兲.
1
010801-32 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
40
J. H. Keller, J. C. Forster, and M. S. Barnes, J. Vac. Sci. Technol. A 11,
2487 共1993兲.
41
J. H. Keller, Plasma Sources Sci. Technol. 5, 166 共1996兲.
42
M. Armacost et al., IBM J. Res. Dev. 43, 39 共1999兲.
43
P. C. Boyle, A. R. Ellingboe, and M. M. Turner, J. Phys. D: Appl. Phys.
37, 697 共2004兲.
44
J. K. Lee, N. Y. Babaeva, H. C. Kim, O. V. Manuilenko, and J. W. Shon,
IEEE Trans. Plasma Sci. 32, 47 共2004兲.
45
D. H. Kim, C. H. Lee, S. H. Cho, N. E. Lee, and G. C. Kwon, J. Vac. Sci.
Technol. B 23, 2203 共2005兲.
46
C. H. Lee, D. H. Kim, N. E. Lee, and G. C. Kwon, J. Vac. Sci. Technol.
A 24, 1386 共2006兲.
47
Plasma Etching, edited by D. M. Manos and D. L. Flamm 共Academic,
San Diego, 1989兲.
48
R. J. Shul and S. J. Pearton, Handbook of Advanced Plasma Processing
Techniques 共Springer, Heidelberg, 2000兲.
49
H. F. Winters and J. W. Coburn, Surf. Sci. Rep. 14, 162 共1992兲.
50
W. Jacob and J. Roth, in Sputtering by Particle Bombardment, Experiments and Computer Calculations from Threshold to MeV Energies, edited by R. Behrisch and W. Eckstein 共Springer, Berlin, 2007兲, pp. 329–
400.
51
P. Sigmund, Phys. Rev. 184, 383 共1969兲.
52
R. Behrisch, Sputtering by Particle Bombardment I 共Springer-Verlag,
Heidelberg, 1981兲; Sputtering by Particle Bombardment II 共SpringerVerlag, Heidelberg, 1983兲; R. Behrisch and K. Wittmaack, Sputtering by
Particle Bombardment III 共Springer-Verlag, Heidelberg, 1991兲.
53
H. Gokan, S. Esho, and Y. Onishi, J. Electrochem. Soc. 130, 143 共1983兲.
54
R. R. Kunz, S. C. Palmateer, A. R. Forte, R. D. Allen, G. M. Wallraff, R.
A. DiPietro, and D. C. Hofer, Proc. SPIE 2724, 365 共1995兲.
55
S. Kishimura, M. Endo, and M. Sasogo, Proc. SPIE 4690, 200 共2002兲.
56
K. S. Patel, V. Pham, W. Li, M. Khojasteh, and P. R. Varasani, Proc. SPIE
6153, 6153Q 共2006兲.
57
T. I. Wallow et al., Proc. SPIE 3678, 26 共1999兲.
58
T. Yu, P. Ching, C. K. Ober, S. V. Deshpande, and R. Puligadda, Proc.
SPIE 4345, 945 共2001兲.
59
D. Perret, C. E. Andes, K. S. Cheon, M. Sobhian, C. R. Szmanda, G. G.
Barclay, and P. Trefonas III, Proc. SPIE 6159, 651912 共2007兲.
60
M. Kushida, Y. Imaizumi, K. Harada, N. Ueno, and K. Sugita, Jpn. J.
Appl. Phys., Part 1 34, 4234 共1995兲.
61
M. Kushida, Y. Yoshida, K. Harada, K. Saito, and K. Sugita, Jpn. J. Appl.
Phys., Part 1 37, 715 共1998兲.
62
L. A. Pederson, J. Electrochem. Soc. 129, 205 共1982兲.
63
C. C. Anderson and F. Rodriguez, J. Vac. Sci. Technol. B 3, 347 共1985兲.
64
Elsa Reichmanis, Curtis W. Frank, James H. O’Donnell, and David J. T.
Hill, in Irradiation of Polymeric Materials, Processes, Mechanisms, and
Applications, ACS Symposium Series, edited by Elsa Reichmanis, Curtis
W. Frank, and James H. O’Donnell, 共American Chemical Society, Washington, DC, 1993兲, Vol. 527, p. 1.
65
T. Ohfuji, M. Endo, M. Takahashi, T. Naito, T. Tatsumi, K. Kuhara, and
M. Sasago, Proc. SPIE 3333, 595 共1998兲.
66
T. Wallow et al., Proc. SPIE 3333, 92 共1998兲.
67
P. M. Dentinger and J. W. Taylor, J. Vac. Sci. Technol. B 15, 2575
共1997兲.
68
C. W. Jurgensen and A. Rammelsberg, J. Vac. Sci. Technol. A 7, 3317
共1989兲.
69
C. W. Jurgensen, ACS Symp. Ser. 412, 210 共1989兲.
70
C. W. Jurgensen, A. Shugard, N. Dudash, E. Reichmanis, and M. J.
Vasile, J. Vac. Sci. Technol. A 6, 2938 共1988兲.
71
J. Ding, J. S. Jenq, G. H. Kim, H. L. Maynard, J. S. Hamers, N. Hershkowitz, and J. W. Taylor, J. Vac. Sci. Technol. A 11, 1283 共1993兲.
72
T. E. F. M. Standaert et al., J. Vac. Sci. Technol. A 19, 435 共2001兲.
73
O. Joubert, J. Pelletier, and Y. Arnal, J. Appl. Phys. 65, 5096 共1989兲.
74
O. Joubert, J. Pelletier, C. Fiori, and T. A. N. Tan, J. Appl. Phys. 67, 4291
共1990兲.
75
O. Joubert, C. Fiori, J. C. Oberlin, P. Paniez, J. Pelletier, M. Pons, T.
Vachette, and A. Weill, J. Appl. Phys. 69, 1697 共1991兲.
76
O. Joubert, P. Paniez, J. Pelletier, and M. Pons, Appl. Phys. Lett. 58, 959
共1991兲.
77
J. A. G. Baggerman, R. J. Visser, and E. J. H. Collart, J. Appl. Phys. 75,
758 共1994兲.
78
E. J. H. Collart, J. A. G. Baggerman, and R. J. Visser, J. Appl. Phys. 78,
47 共1995兲.
79
D. Zhang, S. Rauf, and T. Sparks, IEEE Trans. Plasma Sci. 30, 114
J. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
010801-32
共2002兲.
D. Zhang, S. Rauf, T. G. Sparks, and P. L. G. Ventzek, J. Vac. Sci.
Technol. B 21, 828 共2003兲.
81
A. Sankaran and M. J. Kushner, J. Vac. Sci. Technol. A 22, 1242 共2004兲.
82
A. Sankaran and M. J. Kushner, J. Vac. Sci. Technol. A 22, 1260 共2004兲.
83
R. Dorai and M. J. Kushner, J. Phys. D: Appl. Phys. 36, 666 共2003兲.
84
W. Guo and H. H. Sawin, J. Phys. D: Appl. Phys. 42, 共2009兲.
85
W. Guo and H. H. Sawin, J. Vac. Sci. Technol. A 28, 250 共2010兲.
86
W. Guo and H. H. Sawin, J. Vac. Sci. Technol. A 28, 259 共2010兲.
87
A. N. Broers, J. M. E. Harper, and W. W. Molzen, Appl. Phys. Lett. 33,
392 共1978兲.
88
W. Moreau, D. Merritt, W. Moyer, M. Hatzakis, D. Johnson, and L. Pederson, J. Vac. Sci. Technol. 16, 1989 共1979兲.
89
A. N. Broers, J. Electrochem. Soc. 128, 166 共1981兲.
90
A. C. F. Hoole, M. E. Welland, and A. N. Broers, Semicond. Sci. Technol.
12, 1166 共1997兲.
91
J. O. Choi, J. A. Moore, J. C. Corelli, J. P. Silverman, and H. Bakhru, J.
Vac. Sci. Technol. B 6, 2286 共1988兲.
92
L. Calcagno, G. Compagnini, and G. Foti, Nucl. Instrum. Methods Phys.
Res. B 65, 413 共1992兲.
93
Y. Koval, J. Vac. Sci. Technol. B 22, 843 共2004兲.
94
Y. Koval, M. V. Fistul, and P. Muller, J. Vac. Sci. Technol. A 23, 1375
共2005兲.
95
Z. L. Liau, B. Y. Tsaur, and J. W. Mayer, J. Vac. Sci. Technol. 16, 121
共1979兲.
96
S. Hofmann, Appl. Surf. Sci. 70–71, 9 共1993兲.
97
S. Hofmann, Rep. Prog. Phys. 61, 827 共1998兲.
98
J. B. Malherbe, Crit. Rev. Solid State Mater. Sci. 19, 129 共1994兲.
99
A. von Keudell and W. Jacob, J. Appl. Phys. 79, 1092 共1996兲.
100
W. Jacob, Thin Solid Films 326, 1 共1998兲.
101
G. S. Oehrlein, T. Schwarz-Selinger, K. Schmid, M. Schlüter, and W.
Jacob, J. Appl. Phys. 108, 043307 共2010兲.
102
C. Hopf, A. von Keudell, and W. Jacob, J. Appl. Phys. 94, 2373 共2003兲.
103
S. F. Tead, W. E. Vanderlinde, A. L. Ruoff, and E. J. Kramer, Appl. Phys.
Lett. 52, 101 共1988兲.
104
S. F. Tead, W. E. Vanderlinde, G. Marra, A. L. Ruoff, E. J. Kramer, and
F. D. Egitto, J. Appl. Phys. 68, 2972 共1990兲.
105
G. Luckman, J. Vac. Sci. Technol. B 11, 99 共1993兲.
106
A. R. Pal, R. L. Bruce, F. Weilnboeck, S. Engelmann, T. Lin, M.-S. Kuo,
R. Phaneuf, and G. S. Oehrlein, J. Appl. Phys. 105, 013311 共2009兲.
107
S. Tajima and K. Komvopoulos, J. Phys. Chem. B 109, 17623 共2005兲.
108
S. Tajima and K. Komvopoulos, Appl. Phys. Lett. 89, 124102 共2006兲.
109
S. Tajima and K. Komvopoulos, J. Phys. D: Appl. Phys. 39, 1084 共2006兲.
110
S. Tajima and K. Komvopoulos, J. Phys. Chem. C 111, 4358 共2007兲.
111
S. Tajima and K. Komvopoulos, J. Appl. Phys. 101, 014307 共2007兲.
112
N. Negishi, H. Takesue, M. Sumiya, T. Yoshida, Y. Momonoi, and M.
Izawa, J. Vac. Sci. Technol. B 23, 217 共2005兲.
113
J. Kim, Y. S. Chae, W. S. Lee, J. W. Shon, C. J. Kang, W. S. Han, and J.
T. Moon, J. Vac. Sci. Technol. B 21, 790 共2003兲.
114
H. W. Kim, J. Y. Lee, J. Shin, S. G. Woo, H. K. Cho, and J. T. Moon,
IEEE Trans. Electron Devices 51, 1984 共2004兲.
115
W. Steinhogl, G. Schindler, G. Steinlesberger, M. Traving, and M. Engelhardt, Microelectron. Eng. 76, 126 共2004兲.
116
X. Hua, S. Engelmann, G. S. Oehrlein, P. Jiang, P. Lazzeri, E. Iacob, and
M. Anderle, J. Vac. Sci. Technol. B 24, 1850 共2006兲.
117
D. L. Goldfarb et al., J. Vac. Sci. Technol. B 22, 647 共2004兲.
118
J. Thiault, J. Foucher, J. H. Tortai, O. Joubert, S. Landis, and S. Pauliac,
J. Vac. Sci. Technol. B 23, 3075 共2005兲.
119
A. Yamaguchi H. Fukuda, T. Arai, J. Yamamoto, T. Hirayama, D. Shinono, H. Hada, and J. Onodera, J. Vac. Sci. Technol. B 23, 2711 共2005兲.
120
F. Gaboriau, M. C. Peignon, A. Barreau, G. Turban, and C. Cardinaud,
Microelectron. Eng. 53, 501 共2000兲.
121
M. Padmanaban et al., J. Photopolym. Sci. Technol. 15, 521 共2002兲.
122
L. Ling, X. Hua, X. Li, G. S. Oehrlein, E. Hudson, P. Lazzeri, N. Coghe,
and M. Anderle, J. Vac. Sci. Technol. B 22, 2594 共2004兲.
123
M. C. Kim, D. Shamiryan, Y. Jung, W. Boullart, C. J. Kang, and H. K.
Cho, J. Vac. Sci. Technol. B 24, 2645 共2006兲.
124
E. Pargon, M. Martin, K. Menguelti, L. Azarnouche, J. Foucher, and O.
Joubert, Appl. Phys. Lett. 94, 103111 共2009兲.
125
E. Pargon, K. Menguelti, M. Martin, A. Bazin, O. Chaix-Pluchery, C.
Sourd, S. Derrough, T. Lill, and O. Joubert, J. Appl. Phys. 105, 094902
共2009兲.
126
G. P. Patsis, V. Constantoudis, and E. Gogolides, Microelectron. Eng. 75,
80
010801-33 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
297 共2004兲.
S. Eder-Kapl, H. Loeschner, M. Zeininger, W. Fallmann, O. Kirch, G. P.
Patsis, V. Constantoudis, and E. Gogolides, Microelectron. Eng. 73–74,
252 共2004兲.
128
G. P. Patsis, V. Constantoudis, A. Tserepi, E. Gogolides, and G. Grozev, J.
Vac. Sci. Technol. B 21, 1008 共2003兲.
129
V. Constantoudis, G. P. Patsis, A. Tserepi, and E. Gogolides, J. Vac. Sci.
Technol. B 21, 1019 共2003兲.
130
G. P. Patsis, E. Gogolides, and K. Van Werden, Jpn. J. Appl. Phys., Part 1
44, 6341 共2005兲.
131
G. P. Patsis and E. Gogolides, Microelectron. Eng. 83, 1078 共2006兲.
132
P. Bhatnagar, S. Panda, N. L. Edleman, S. D. Allen, R. Wise, and A.
Mahorowala, Appl. Phys. Lett. 88, 共2006兲.
133
P. Bhatnagar, S. Panda, N. L. Edleman, S. D. Allen, R. Wise, and A.
Mahorowala, J. Appl. Phys. 101, 076102 共2007兲.
134
B. Jinnai, K. Koyama, K. Kato, A. Yasuda, H. Momose, and S. Samukawa, J. Appl. Phys. 105, 共2009兲.
135
E. Gogolides, V. Constantoudis, G. P. Patsis, and A. Tserepi, Microelectron. Eng. 83, 1067 共2006兲.
136
R. M. Bradley and J. M. E. Harper, J. Vac. Sci. Technol. A 6, 2390
共1988兲.
137
E. Chason, T. M. Mayer, B. K. Kellerman, D. T. McIlroy, and A. J.
Howard, Phys. Rev. Lett. 72, 3040 共1994兲.
138
T. M. Mayer, E. Chason, and A. J. Howard, J. Appl. Phys. 76, 1633
共1994兲.
139
U. Valbusa, C. Boragno, and F. B. de Mongeot, J. Phys.: Condens. Matter
14, 8153 共2002兲.
140
M. A. Makeev, R. Cuerno, and A. L. Barabasi, Nucl. Instrum. Methods
Phys. Res. B 197, 185 共2002兲.
141
G. S. Oehrlein, R. G. Schad, and M. A. Jaso, Surf. Interface Anal. 8, 243
共1986兲.
142
G. S. Oehrlein, J. F. Rembetski, and E. H. Payne, J. Vac. Sci. Technol. B
8, 1199 共1990兲.
143
H. Jansen, M. Deboer, R. Legtenberg, and M. Elwenspoek, J. Micromech.
Microeng. 5, 115 共1995兲.
144
T. Hayakawa, T. Suzuki, T. Uesugi, and Y. Mitsushima, Jpn. J. Appl.
Phys., Part 1 37, 5 共1998兲.
145
H. F. W. Dekkers, F. Duerinckx, J. Szlufcik, and J. Nijs, Opto-Electron.
Rev. 8, 311 共2000兲.
146
G. Kokkoris, V. Constantoudis, P. Angelikopoulos, G. Boulousis, and E.
Gogolides, Phys. Rev. B 76, 193405 共2007兲.
147
H. Tsuda, M. Mori, Y. Takao, K. Eriguchi, and K. Ono, Thin Solid Films
518, 3475 共2010兲.
148
Y. Ohnishi, M. Suzuki, K. Saigo, Y. Saotome, and H. Gokan, Proc. Soc.
Photo-Opt. Instrum. Eng. 539, 62 共1985兲.
149
Y. Saotome, H. Gokan, K. Saigo, M. Suzuki, and Y. Ohnishi, J. Electrochem. Soc. 132, 909 共1985兲.
150
F. Watanabe and Y. Ohnishi, J. Vac. Sci. Technol. B 4, 422 共1986兲.
151
M. A. Hartney, D. W. Hess, and D. S. Soane, J. Vac. Sci. Technol. B 7, 1
共1989兲.
152
S. A. MacDonald, H. Schlosser, H. Ito, N. J. Clecak, and C. G. Willson,
Chem. Mater. 3, 435 共1991兲.
153
A. P. Mahorowala et al., J. Vac. Sci. Technol. A 18, 1411 共2000兲.
154
D. Eon et al., Microelectron. Eng. 61–62, 901 共2002兲.
155
S. Panda, R. Wise, A. Mahorowala, V. Balasubramanium, and K. Sugiyama, J. Vac. Sci. Technol. B 23, 900 共2005兲.
156
R. L. Bruce, T. Lin, R. J. Phaneuf, G. S. Oehrlein, W. Bell, B. Long, and
C. G. Willson, J. Vac. Sci. Technol. B 28, 751 共2010兲.
157
S. Mori, T. Morisawa, N. Matsuzawa, Y. Kaimoto, M. Endo, T. Matsuo,
K. Kuhara, and M. Sasago, J. Vac. Sci. Technol. B 16, 3739 共1998兲.
158
V. Rao et al., J. Vac. Sci. Technol. B 16, 3722 共1998兲.
159
T. Sugihara, R. F. Van, A. M. Goethals, K. Ronse, and L. Van den Hove,
Microelectron. Eng. 46, 339 共1999兲.
160
A. M. Goethals, F. Van Roey, T. Sugihara, L. Van den Hove, J. Vertommen, and W. Klippert, J. Vac. Sci. Technol. B 16, 3322 共1998兲.
161
M. H. Somervell, D. S. Fryer, B. Osborn, K. Patterson, J. Byers, and C.
G. Willson, J. Vac. Sci. Technol. B 18, 2551 共2000兲.
162
V. Constantoudis, E. Goglides, G. P. Patsis, A. Tserepi, and E. S. Valamontes, J. Vac. Sci. Technol. B 19, 2694 共2001兲.
163
V. Constantoudis, E. Gogolides, A. Tserepi, C. Diakoumakos, and E. S.
Valamontes, Microelectron. Eng. 61–62, 793 共2002兲.
164
G. P. Patsis, N. Glezos, I. Raptis, and E. S. Valamontes, J. Vac. Sci.
Technol. B 17, 3367 共1999兲.
127
JVST B - Microelectronics and Nanometer Structures
165
010801-33
G. P. Patsis, A. Tserepi, I. Raptis, N. Glezos, E. Gogolides, and E. S.
Valamontes, J. Vac. Sci. Technol. B 18, 3292 共2000兲; G. P. Patsis and E.
Gogolides, Microelectron. Eng. 57–58, 563 共2001兲.
166
S. Engelmann et al., J. Vac. Sci. Technol. B 27, 92 共2009兲.
167
S. Engelmann et al., J. Vac. Sci. Technol. B 27, 1165 共2009兲.
168
S. Engelmann, R. L. Bruce, F. Weilnboeck, G. S. Oehrlein, D. Nest, D.
Graves, C. Andes, and E. A. Hudson, Plasma Processes Polym. 6, 484
共2009兲.
169
E. Pargon, D. Nest, and D. B. Graves, J. Vac. Sci. Technol. B 25, 1236
共2007兲.
170
B. J. Orf, S. G. Walton, D. Leonhardt, and G. S. Oehrlein, J. Vac. Sci.
Technol. B 25, 779 共2007兲.
171
M. Sumiya, R. Bruce, S. Engelmann, F. Weilnboeck, and G. S. Oehrlein,
J. Vac. Sci. Technol. B 26, 1637 共2008兲.
172
M. Sumiya, R. Bruce, S. Engelmann, F. Weilnboeck, and G. S. Oehrlein,
J. Vac. Sci. Technol. B 26, 1647 共2008兲.
173
M. Sumiya, R. Bruce, S. Engelmann, F. Weilnboeck, and G. S. Oehrlein,
J. Vac. Sci. Technol. B 26, 1978 共2008兲.
174
D. Nest, D. B. Graves, S. Engelmann, R. L. Bruce, F. Weilnboeck, G. S.
Oehrlein, C. Andes, and E. A. Hudson, Appl. Phys. Lett. 92, 153113
共2008兲.
175
M. J. Titus, D. G. Nest, and D. B. Graves, Appl. Phys. Lett. 104, 034308
共2008兲.
176
M. J. Titus, D. G. Nest, and D. B. Graves, J. Phys. D: Appl. Phys. 42,
152001 共2009兲.
177
D. Nest et al., Plasma Processes Polym. 6, 649 共2009兲.
178
R. L. Bruce et al., J. Vac. Sci. Technol. B 27, 1142 共2009兲.
179
J. J. Végh et al., Appl. Phys. Lett. 91, 233113 共2007兲.
180
Xi Li, Li Ling, X. Hua, G. S. Oehrlein, Y. Wang, A. V. Vasenkov, and M.
J. Kushner, J. Vac. Sci. Technol. A 22, 500 共2004兲.
181
A. V. Vasenkov Xi Li, G. S. Oehrlein, and M. J. Kushner, J. Vac. Sci.
Technol. A 22, 511 共2004兲.
182
J. A. O’Neill and J. Singh, J. Appl. Phys. 77, 497 共1995兲.
183
M. Schaepkens, T. E. F. M. Standaert, M. v. Kampen, G. S. Oehrlein, and
J. M. Cook, J. Vac. Sci. Technol. A 16, 2099 共1998兲.
184
G. S. Oehrlein, X. Hua, C. Stolz, and P. Jiang, J. Vac. Sci. Technol. B 24,
279 共2006兲.
185
T. M. Mayer and R. A. Barker, J. Vac. Sci. Technol. 21, 757 共1982兲.
186
T. M. Mayer and R. A. Barker, J. Electrochem. Soc. 129, 585 共1982兲.
187
T. M. Mayer, R. A. Barker, and L. J. Whitman, J. Vac. Sci. Technol. 18,
349 共1981兲.
188
G. K. Choudhary, J. J. Vegh, and D. B. Graves, J. Phys. D: Appl. Phys.
42, 242001 共2009兲.
189
T. E. F. M. Standaert, C. Hedlund, E. A. Joseph, G. S. Oehrlein, and T. J.
Dalton, J. Vac. Sci. Technol. A 22, 53 共2004兲.
190
N. Agarwal, S. Porneth, J. Plawsky, and P. D. Persans, Appl. Phys. Lett.
78, 2294 共2001兲.
191
J. R. Woodworth, M. E. Riley, V. A. Arnatucci, T. W. Hamilton, and B. P.
Aragon, J. Vac. Sci. Technol. A 19, 45 共2001兲.
192
J. F. Rabek, Mechanisms of Photophysical Processes and Photochemical
Reactions in Polymers: Theory and Applications 共Wiley, Chichester, NY,
1987兲.
193
J. F. Rabek, Photodegradation of Polymers: Physical Characteristics and
Applications 共Springer, New York, 1996兲.
194
V. Nelea, V. N. Vasilets, V. E. Skurat, F. Truica-Marasescu, and M. R.
Wertheimer, Plasma Processes Polym. 7, 431 共2010兲.
195
F. Weilnboeck et al. 共unpublished兲.
196
R. L. Bruce Ph.D. thesis, University of Maryland, 2010.
197
K. Dawes and L. C. Glover, in Physical Properties of Polymers Handbook, edited by J. E. Mark 共AIP, New York, 1996兲, p. 557.
198
L. A. Wall, J. Polym. Sci., Part B: Polym. Phys. 17, 141 共1955兲.
199
T. Schwarz-Selinger, A. von Keudell, and W. Jacob, J. Appl. Phys. 86,
3988 共1999兲.
200
C. Hopf, A. von Keudell, and W. Jacob, J. Appl. Phys. 93, 3352 共2003兲.
201
G. Odian, Principles of Polymerization 共Wiley, New York, 2004兲, p. 279.
202
H. R. Allcock and F. W. Lampe, Contemporary Polymer Chemistry
共Prentice-Hall, Englewood Cliffs, NJ, 1990兲, p. 239.
203
D. Nest et al., J. Phys. D: Appl. Phys. 43, 3085204 共2010兲.
204
N. Bowden, W. T. S. Huck, K. E. Paul, and G. M. Whitesides, Appl. Phys.
Lett. 75, 2557 共1999兲.
205
P. Louette, F. Bodino, and J.-J. Pireaux, Surf. Sci. Spectra 12, 96 共2005兲.
206
R. M. France and R. D. Short, Langmuir 14, 4827 共1998兲.
207
B. K. Gan, M. M. M. Bilek, A. Kondyurin, K. Mizuno, and D. R. McK-
010801-34 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
enzie, Nucl. Instrum. Methods Phys. Res. B 247, 254 共2006兲.
D. Schneider T. Schwarz H.-J. Scheibe, and M. Panzer, Thin Solid Films
295, 107 共1997兲.
209
H. Jiang, D.-Y. Khang, J. Song, Y. Sun, Y. Huang, and J. A. Rogers, Proc.
Natl. Acad. Sci. U.S.A. 104, 15607 共2007兲.
210
A. C. Ferrari, J. Robertson, M. G. Beghi, C. E. Bottani, R. Ferulano, and
R. Pastorelli, Appl. Phys. Lett. 75, 1893 共1999兲.
211
A. I. Stoyanov, J. Appl. Polym. Sci. 23, 3123 共1979兲.
212
R. L. Bruce et al. 共unpublished兲.
213
D. Nest, Ph.D. thesis, University of California, Berkeley, 2009.
214
M. R. Wertheimer, A. C. Fozza, and A. Holländer, Nucl. Instrum. Methods Phys. Res. B 151, 65 共1999兲.
215
J. Zekonyte, V. Zaporojtchenko, and F. Faupel, Nucl. Instrum. Methods
Phys. Res. B 236, 241 共2005兲.
216
J. Zekonyte, J. Erichsen, V. Zaporojtchenko, and F. Faupel, Surf. Sci.
532–535, 1040 共2003兲.
217
J. Zekonyte, Ph.D. thesis, Kiel University, 2003.
218
B. J. Bachman and J. Vasile, J. Vac. Sci. Technol. A 7, 2709 共1989兲.
219
J. J. Végh, Ph.D. thesis, University of California, Berkeley, 2007.
220
T. Y. Chung, D. Nest, D. B. Graves, F. Weilnboeck, R. L. Bruce, G. S.
Oehrlein, D. Wang, M. Li, and E. A. Hudson, J. Phys. D: Appl. Phys. 43,
272001 共2010兲.
221
B. Ni, H. K. Lee, and B. S. Sinnott, J. Phys.: Condens. Matter 16, 7261
共2004兲.
222
J. J. Végh et al., J. Appl. Phys. 104, 034308 共2008兲.
223
H. J. C. Berendsen, J. P. M. Postma, W. F. Van Gunsteren, A. Dinola, and
J. R. Haak, J. Chem. Phys. 81, 3684 共1984兲.
224
W. W. Mullins and R. F. Sekerka, J. Appl. Phys. 35, 444 共1964兲.
225
A.-L. Barabási and H. E. Stanley, Fractal Concepts in Surface Growth
共Cambridge University Press, New York, 1995兲.
226
Dynamics of Fractal Surfaces, edited by F. Family and T. Vicsek 共World
Scientific, Singapore, 1991兲.
227
Y.-P. Zhao, J. T. Drotar, G.-C. Wang, and T.-M. Lu, Phys. Rev. Lett. 87,
136102 共2001兲.
228
J. T. Drotar, Y.-P. Zhao, T.-M. Lu, and G.-C. Wang, Phys. Rev. B 61,
3012 共2000兲.
229
S. Park, B. Kahng, H. Jeong, and A.-L. Barabási, Phys. Rev. Lett. 83, 25
共1999兲.
230
E. Chason and M. J. Aziz, Scr. Mater. 49, 953 共2003兲.
231
D. G. Cahill, J. Vac. Sci. Technol. A 21, S110 共2003兲.
232
G. Carter and V. Vishnyakov, Phys. Rev. B 54, 17 共1996兲.
233
J. D. Erlebacher and M. J. Aziz, Mater. Res. Soc. Symp. Proc. 440, 461
共1997兲.
234
J. Erlebacher, M. J. Aziz, E. Chason, M. B. Sinclair, and J. A. Floro,
Phys. Rev. Lett. 82, 2330 共1999兲.
235
S. Rusponi, C. Boragno, and U. Valbusa, Phys. Rev. Lett. 78, 2795
共1997兲.
236
Z. X. Jiang and P. F. A. Alkemade, Appl. Phys. Lett. 73, 315 共1998兲.
237
V. K. Singh, E. S. G. Shaqfeh, and J. P. McVittie, J. Vac. Sci. Technol. B
10, 1091 共1992兲.
238
V. K. Singh, E. S. G. Shaqfeh, and J. P. McVittie, J. Vac. Sci. Technol. B
12, 2952 共1994兲.
239
L. P. Kadanoff, Critical Phenomena, Proceedings of the International
School of Physics, edited by M. S. Green 共Academic, New York, 1971兲,
p. 101.
240
T. Kwon et al. 共unpublished兲.
241
S. K. Sinha, E. B. Sirota, S. Garoff, and H. B. Stanley, Phys. Rev. B 38,
2297 共1988兲.
242
M. Colburn, S. Halle, M. Burkhardt, S. Burns, N. Seong, and D. Goldfarb, SEMATECH Litho Forum-2006 共unpublished兲.
243
R. Stulen, IEEE J. Quantum Electron. 35, 694 共1999兲.
244
Y. N. Xia and G. M. Whitesides, Annu. Rev. Mater. Sci. 28, 153 共1998兲.
245
Y. N. Xia, J. A. Rogers, K. E. Paul, and G. M. Whitesides, Chem. Rev.
共Washington, D.C.兲 99, 1823 共1999兲.
246
A. Kumar and G. M. Whitesides, Appl. Phys. Lett. 63, 2002 共1993兲.
247
J. L. Wilbur and G. M. Whitesides, in Nanotechnology, edited by G. Timp
共Springer-Verlag, New York, 1999兲, pp. 331–370.
248
S. Y. Chou, P. R. Krauss, and P. J. Renstrom, Science 272, 85 共1996兲.
249
S. Y. Chou, P. R. Krauss, W. Zhang, L. Guo, and L. Zhang, J. Vac. Sci.
Technol. B 15, 2897 共1997兲.
250
T. C. Bailey, S. C. Johnson, S. V. Sreenivasan, J. G. Ekerdt, C. G. Willson, and D. J. Resnick, J. Photopolym. Sci. Technol. 15, 481 共2002兲.
251
M. Park, C. Harrison, P. M. Chaikin, R. A. Register, and D. H. Adamson,
208
J. Vac. Sci. Technol. B, Vol. 29, No. 1, Jan/Feb 2011
010801-34
Science 276, 1401 共1997兲.
H. C. Kim and W. D. Hinsberg, J. Vac. Sci. Technol. A 26, 1369 共2008兲.
253
M. Kurihara and M. Izawa, Proceedings of Dry Process Symposium,
2004 共unpublished兲.
254
I. W. Cho, H. Kim, J.-H. You, and H. K. Oh, Jpn. J. Appl. Phys. 49,
036502 共2010兲.
255
K. Yonekura, K. Yoshikawa, Y. Fujiwara, S. Sakamori, N. Fujiwara, T.
Kosaka, M. Ohkuni, and K. Tateiwa, Thin Solid Films 515, 5012 共2007兲.
256
A. P. Mahorowala, K. J. Chen, R. Sooriyakumaran, A. Clancy, D. Murthy, and S. Rasgon, Proc. SPIE 5753, 380 共2005兲.
257
G. M. Wallraff, C. E. Larson, G. Breyta, L. Sundberg, D. Miller, D. Gil,
K. Petrillo, and W. Pierson, Proc. SPIE 6153, 61531M 共2006兲.
258
Lovejeet Singh, Itty Matthew, Adam Pawloski, and Anna Minvielle, Proc.
SPIE 6153, 61530W 共2006兲.
259
Sung Il Ahn, Jae Hyun Kim, and Wang-Cheol Zin, Proc. SPIE 6153,
615326 共2006兲.
260
Tsuneo Yamashita, Takuji Ishikawa, Tomohiro Yoshida, Takashi Hayami,
and Hirokazu Aoyama, Proc. SPIE 6153, 615325 共2006兲.
261
Takashi Sasaki, Naoko Shirota, Yoko Takebe, and Osamu Yokokoji, Proc.
SPIE 6153, 61530E 共2006兲.
262
Kaushal S. Patel, Victor Pham, Wenjie Li, Mahmoud Khojasteh, and
Pushkara Rao Varanasi, Proc. SPIE 6153, 61530Q 共2006兲.
263
R. L. Brainard, G. G. Barclay, E. H. Anderson, and L. E. Ocola, Microelectron. Eng. 61–62, 707 共2002兲.
264
T. Itani, Microelectron. Eng. 86, 207 共2009兲.
265
T. Itani, H. Oizumi, K. Kaneyama, D. Kawamura, S. Kobayashi, and J. J.
Santillan, J. Photopolym. Sci. Technol. 22, 59 共2009兲.
266
P. Argitis, D. Niakoula, A. M. Douvas, E. Gogolides, I. Raptis, V. P.
Vidali, and E. A. Couladouros, Int. J. Nanotechnol. 6, 71 共2009兲.
267
T. Bailey, B. J. Choi, M. Colburn, M. Meissl, S. Shaya, J. G. Ekerdt, S. V.
Sreenivasan, and C. G. Willson, J. Vac. Sci. Technol. B 18, 3572 共2000兲.
268
S. S. Song, S. M. Kim, B. Y. Choi, G. Y. Jung, and H. Lee, J. Vac. Sci.
Technol. B 27, 1984 共2009兲.
269
P. Ball, Technol. Rev. 104, 31 共2001兲.
270
C. R. K. Marrian and D. M. Tennant, J. Vac. Sci. Technol. A 21, S207
共2003兲.
271
I. W. Hamley, Nanotechnology 14, R39 共2003兲.
272
C. Park, J. Yoon, and E. L. Thomas, Polymer 44, 6725 共2003兲.
273
F. S. Bates and G. H. Fredrickson, Phys. Today 52共2兲, 32 共1999兲.
274
M. J. Fasolka and A. M. Mayes, Annu. Rev. Mater. Res. 31, 323 共2001兲.
275
C. T. Black, K. W. Guarini, Y. Zhang, H. J. Kim, J. Benedict, E. Sikorski,
I. V. Babich, and K. R. Milkove, IEEE Electron Device Lett. 25, 622
共2004兲.
276
T. Thurn-Albrecht et al., Science 290, 2126 共2000兲.
277
K. W. Guarini, C. T. Black, Y. Zhang, H. Kim E. M. Sikroski, and I. V.
Babich, J. Vac. Sci. Technol. B 20, 2788 共2002兲.
278
J. Y. Cheng, C. A. Ross, E. L. Thomas, H. I. Smith, and J. Vansco, Appl.
Phys. Lett. 81, 3657 共2002兲.
279
R. A. Segalman, H. Yokoyama, and E. J. Kramer, Adv. Mater. 13, 1152
共2001兲.
280
S. O. Kim, H. H. Solak, M. P. Stoykovich, N. J. Ferrier, J. de Pablo, and
P. F. Nealey, Nature 共London兲 424, 411 共2003兲.
281
M. P. Stoykovich, M. Muller, S. O. Kim, H. H. Solak, E. W. Edwards, J.
J. de Pablo, and P. F. Nealey, Science 308, 1442 共2005兲.
282
Domain alignment in block copolymer thin films can be achieved in several ways: through neutralization of block interactions with air and substrate interfaces, through self-assembly in the presence of external electrical and mechanical fields, and through controlled solvent-induced selfassembly. Please refer to the following reference for further details: P.
Mansky, Y. Liu, E. Huang, T. P. Russell, and C. Hawker, Science 275,
1458 共1997兲; A. P. Smith, A. Sehgal, J. F. Douglas, A. Karim, and E. J.
Amis, Macromol. Rapid Commun. 24, 131 共2003兲.
283
E. M. Freer, L. E. Krupp, W. D. Hinsberg, P. M. Rice, J. L. Hedrick, J. N.
Cha, R. D. Miller, and H. C. Kim, Nano Lett. 5, 2014 共2005兲.
284
R. Glass, M. Moller, and J. P. Spatz, Nanotechnology 14, 1153 共2003兲.
285
C. T. Black, K. W. Guarini, K. R. Milkove, S. M. Baker, T. P. Russell, and
M. T. Tuominen, Appl. Phys. Lett. 79, 409 共2001兲.
286
K. W. Guarini, C. T. Black, K. R. Milove, and R. L. Sandstrom, J. Vac.
Sci. Technol. B 19, 2784 共2001兲.
287
R. P. Li, P. D. Dapkus, M. E. Thompson, G. Jeong, C. Harrison, P. M.
Chaikin, R. A. Register, and D. H. Adamson, Appl. Phys. Lett. 76, 1689
共2000兲.
288
A. Alizadeh, P. Sharma, S. P. Ganti, S. F. LeBoeuf, and L. Tsakalakos, J.
252
010801-35 Oehrlein, Phaneuf, and Graves: Plasma-polymer interactions: A review of progress in understanding
Appl. Phys. 95, 8199 共2004兲.
Plasma Deposition, Treatment, and Etching of Polymers: The Treatment
and Etching of Polymers, edited by R. d’Agostino, D. L. Flamm, and O.
Auciello 共Academic, New York, 1990兲.
290
J. M. Grace and L. J. Gerenser, J. Dispersion Sci. Technol. 24, 305
共2003兲.
291
A. A. Meyer-Plath, K. Schroder, B. Finke, and A. Ohl, Vacuum 71, 391
共2003兲.
292
K. S. Siow, L. Britcher, S. Kumar, and H. J. Griesser, Plasma Processes
Polym. 3, 392 共2006兲.
293
T. Desmet, R. Morent, N. De Geyter, C. Leys, E. Schacht, and P. Dubruel,
Biomacromolecules 10, 2351 共2009兲.
294
M. Ozdemir, C. U. Yurteri, and H. Sadikoglu, Crit. Rev. Food Sci. Nutr.
39, 457 共1999兲.
295
U. Schulz and N. Kaiser, Prog. Surf. Sci. 81, 387 共2006兲.
296
U. Schulz, Appl. Opt. 45, 1608 共2006兲.
297
Y. L. Loo, R. L. Willett, K. W. Baldwin, and J. A. Rogers, Appl. Phys.
289
JVST B - Microelectronics and Nanometer Structures
010801-35
Lett. 81, 562 共2002兲.
E. Menard, L. Bilhaut, J. Zaumseil, and J. A. Rogers, Langmuir 20, 6871
共2004兲.
299
D. Y. Lee, D. R. Hines, C. M. Stafford, C. L. Soles, E. K. Lin, and G. S.
Oehrlein, Adv. Mater. 21, 1 共2009兲.
300
D. C. Trimbach, M. Al-Hussein, W. H. de Jeu, M. Decre, D. J. Broer, and
C. W. M. Bastiaansen, Langmuir 20, 4738 共2004兲.
301
P. Favia, G. Cicala, A. Milella, F. Palumbo, R. Rossini, and R.
d’Agostino, Surf. Coat. Technol. 169–170, 609 共2003兲.
302
S. G. Walton, E. H. Lock, and R. F. Fernsler, Plasma Processes Polym. 5,
453 共2008兲.
303
For an overview, see Y.-L. Loo and I. McCulloch, MRS Bull. 33, 653
共2008兲.
304
C. I. Butoi, N. M. Mackie, L. J. Gamble, D. G. Castner, J. Barnd, A. M.
Miller, and E. R. Fisher, Chem. Mater. 12, 2014 共2000兲.
305
E. R. Fisher, Plasma Sources Sci. Technol. 11, A105 共2002兲.
306
D. S. Wavhal and E. R. Fisher, Langmuir 19, 79 共2003兲.
298