Vivado Design Suite ユーザー ガイド : I/O およびクロック配置

Vivado Design Suite
ユーザー ガ イ ド
I/O および ク ロ ッ ク配置
UG899 (v2014.1) 2014 年 4 月 2 日
改訂履歴
次の表に、 こ の文書の改訂履歴を示 し ます。
日付
バージ ョ ン
改訂内容
2014 年 4 月 2 日
2014.1
『UlatraFast 設計手法ガ イ ド (Vivado Design Suite 用)』 (UG949) への参照を追加、 UltraScale™ デ
バ イ ス の ス ループ ッ ト への参照を追加
第 2 章 「I/O ピ ン配置デザ イ ン フ ロ ー」 : 「I/O お よ び ク ロ ッ ク の配置」 に Vivado Design Suite
ビデオ チ ュ ー ト リ アル : I/O 配置の概要への リ ン ク を追加 し 、 「RTL 前の I/O ピ ン配置 と デバ
イ ス確認」 お よ び 「RTL ベース の I/O ピ ン配置」 にボー ド フ ロ ーに関す る 情報を追加
第 3 章 「I/O ピ ン配置」 : 「[I/O Planning] レ イ ア ウ ト の使用」 に、 [I/O Planning] ビ ュ ー レ イ ア
ウ ト を使用す る 前にプ ロ ジ ェ ク ト を開 く 必要があ る と い う 情報を追加、 「代替の互換性のあ る
パーツ を定義す る Tcl コ マ ン ド 」 にVivado Design Suite Properties Reference Guide (UG912)への
リ ン ク を 追加、 「デバ イ ス コ ン フ ィ ギ ュ レ ーシ ョ ン モー ド の設定」 に JTAG コ ン フ ィ ギ ュ
レーシ ョ ン モー ド に関す る 注記を追加、 , updated Tcl command example in 「DCI カ ス ケー ド 制
約の変更 と 削除」 の Tcl コ マ ン ド の例を ア ッ プデー ト 、 「I/O ポー ト 方向の設定」 の I/O 配置プ
ロ ジ ェ ク ト の方向プ ロ パテ ィ の設定に関す る 注記を追加、 「I/O ポー ト イ ン タ ーフ ェ イ ス の自
動推論」 を追加、 「DRC エ ラ ーの表示」 を ア ッ プデー ト
図 3-1、 図 3-8、 お よ び 図 3-30 を ア ッ プデー ト
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
2
目次
改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
第 1 章 : 概要
概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
I/O お よ び ク ロ ッ ク の配置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4
[I/O Planning] レ イ ア ウ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6
第 2 章 : I/O ピ ン配置デザイ ン フ ロー
概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
RTL 前の I/O ピ ン配置 と デバ イ ス確認 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7
RTL ベース の I/O ピ ン配置. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
ネ ッ ト リ ス ト ベース の I/O ピ ン配置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14
第 3 章 : I/O ピ ン配置
[I/O Planning] レ イ ア ウ ト の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デバ イ ス リ ソ ース の表示 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
互換性のあ る 代替デバ イ ス の指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デバ イ ス コ ン フ ィ ギ ュ レーシ ョ ン モー ド の設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
[Device Constraints] ビ ュ ーの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コ ン フ ィ ギ ュ レーシ ョ ン バン ク 電圧セ レ ク ト (CFGBVS) ピ ンの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O ポー ト の定義 と 設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ク ロ ッ ク 配置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O ポー ト の配置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
DRC の実行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
RTL デザ イ ンへの変換 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SSN 解析 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O ピ ン と パ ッ ケージ デー タ のエ ク ス ポー ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
IBIS モデル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PCB デザ イ ン と の イ ン タ ーフ ェ イ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
SSI テ ク ノ ロ ジ デバ イ ス を使用 し た I/O ピ ン配置お よ び ク ロ ッ ク 配置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
16
17
21
22
24
28
29
37
39
45
49
50
54
54
56
57
付録 A : CSV フ ァ イル形式の I/O ポー ト リ ス ト
CSV フ ァ イ ル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58
CSV フ ァ イ ルでの差動ペア . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59
付録 B : その他の リ ソ ースおよび法的通知
ザ イ リ ン ク ス リ ソ ース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ソ リ ュ ーシ ョ ン セ ン タ ー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
リ フ ァ レンス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
61
61
61
62
3
第 1章
概要
概要
I/O お よ び ク ロ ッ ク 配置は、 プ リ ン ト 回路基板 (PCB) 設計、 FPGA 設計、 お よ びシ ス テ ム設計にかかわ る プ ロ セ ス で
あ り 、 それぞれに考慮事項お よ び要件があ り ます。 ピ ン配置が最適化 さ れていない と 遅延が増加 し 、 タ イ ミ ン グお よ
びシ グナル イ ン テ グ リ テ ィ 要件を満たす障害 と な り ます。 PCB か ら FPGA ダ イ へのデー タ フ ロ ーを考慮す る と 、 最
適な ピ ン配置を短期間で定義で き 、内部お よ び外部の ト レース長を削減 し 、配線の密集を緩和で き ます。 こ の章では、
Vivado® 統合設計環境 (IDE) と い う グ ラ フ ィ カル ユーザー イ ン タ ーフ ェ イ ス (GUI) を使用 し た I/O お よ び ク ロ ッ ク 配
置のプ ロ セ ス の概要を説明 し ます。
I/O および ク ロ ッ クの配置
Vivado IDE では、 デザ イ ン プ ロ セ ス の さ ま ざ ま な段階で I/O お よ び ク ロ ッ ク 配置を実行で き ます。 設計が進行す る に
つれ、 よ り 多 く の情報が使用可能にな る ので、 デザ イ ン の合成後お よ び イ ン プ リ メ ン ト 後には、 よ り 複雑なルール
チ ェ ッ ク を実行で き ます。 デザ イ ン プ ロ セ ス の各段階で実行で き る 作業は異な り ます。 た と えば、 プ ロ セ ス の初期段
階では、 一部のデー タ が存在せず、 解析は予測値に基づいた も のにな り ます。 プ ロ セ ス の後の方の段階では、 デー タ
が追加 さ れ、 解析は よ り 正確な も のにな り ます。
Vivado Design Suite ツールでは、 I/O お よ び ク ロ ッ ク の配置を空のプ ロ ジ ェ ク ト で開始 し 、 RTL ソ ース フ ァ イ ルお よ
び合成済みネ ッ ト リ ス ト に移行 し て、 最後に イ ンプ リ メ ン ト 済みデザ イ ンで作業で き ます。 I/O ピ ン と ク ロ ッ ク コ ン
フ ィ ギ ュ レーシ ョ ンの最終的な検証は、 イ ンプ リ メ ン ト 済みデザ イ ンで実行 し ます。 ク ロ ッ ク リ ソ ース が適切に配置
さ れてい る か を検証す る には、 すべての ク ロ ッ ク が完全に イ ンプ リ メ ン ト さ れてい る こ と が必要です。
最適な I/O 割 り 当ては I/O FPGA と デザ イ ン の構造お よ び関係に よ っ て異な り ます。 I/O お よ び ク ロ ッ ク ロ ジ ッ ク は
よ く 一緒に割 り 当て ら れ ま す。 た と えば、 特定の ピ ン が ク ロ ッ ク ピ ン に適 し ていて、 それ以外がデジ タ ル制御 イ ン
ピーダ ン ス (DCI) カ ス ケー ド と 内部電圧参照 (VREF) に適 し てい る こ と があ り ま す。 UltraFast™ 設計手法を使用 し た
ボー ド お よ びデバ イ ス配置については、 『UltraFast 設計手法ガ イ ド (Vivado Design Suite 用) (UG949) [参照 1] を参照 し
て く だ さ い。
重要 : I/O 配置は、 で き る だけ合成済みデザ イ ン で実行 し て く だ さ い。 た と えば、I/O 配置の DRC で よ り 複雑なチ ェ ッ
ク が考慮 さ れ る よ う にす る には、 合成済みデザ イ ンが必要です。
次に、 各 I/O お よ び ク ロ ッ ク の配置段階の概要を示 し ます。
1.
I/O ピ ン配置プ ロ ジ ェ ク ト の作成
空のプ ロ ジ ェ ク ト を作成 し て、 初期段階のデバ イ ス チ ェ ッ ク と I/O ポー ト コ ン フ ィ ギ ュ レーシ ョ ン を実行で き
ます。 こ れに よ り 、 ピ ン配置を設計の初期段階で定義で き 、 設計サ イ ク ルの最後の方で ピ ン配置に関連す る 変更
が発生す る のを回避で き ます。 プ ロ ジ ェ ク ト を作成す る 際、 I/O ポー ト を手動で作成す る か、 CSV フ ァ イ ルやザ
イ リ ン ク ス デザ イ ン制約 (XDC) フ ァ イ ルか ら イ ン ポー ト で き ます。 プ ロ ジ ェ ク ト を作成す る と 、 次を実行で き
ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
4
第 1 章 : 概要
2.
°
デバ イ スお よ び I/O ポー ト 割 り 当て をエ ク ス ポー ト し 、 デザ イ ン プ ロ セ ス の後半で使用
°
ポー ト 定義 と ピ ン割 り 当てが解決 し た後、 I/O ピ ン配置プ ロ ジ ェ ク ト を RTL プ ロ ジ ェ ク ト に変換
RTL ソ ース フ ァ イ ルのエ ラ ボ レーシ ョ ン と チ ェ ッ ク
I/O 配置を RTL プ ロ ジ ェ ク ト で実行で き ます。 エ ラ ボ レー ト 済みデザ イ ンでは、 基本的な DRC を実行で き ます。
推奨 : ク ロ ッ ク ロ ジ ッ ク をチ ェ ッ ク す る には、 合成済みデザ イ ン を使用す る こ と をお勧め し ます。
3.
デザ イ ンの合成
合成済みデザ イ ンで I/O 配置を実行で き ます。 こ の段階ではすべての ク ロ ッ ク が決定 さ れてお り 、 ツールですべ
ての ク ロ ッ ク を認識で き る ので、 さ ら に詳細な検証を実行で き ます。 I/O 配置は、 可能な限 り 合成済みデザ イ ン
で実行 し て く だ さ い。
4.
デザ イ ンの イ ンプ リ メ ン テーシ ョ ン と I/O の最終検証
I/O 配置が有効であ る こ と を確認す る には、 デザ イ ン を完全に イ ンプ リ メ ン ト す る 必要があ り ます。 イ ンプ リ メ
ン テーシ ョ ン レ ポー ト で I/O お よ び ク ロ ッ ク 関連の メ ッ セージ を確認 し て く だ さ い。
ビデオ : デザ イ ン プ ロ セ ス の さ ま ざ ま な段階での I/O 配置に関す る 詳細は、 Vivado ビデオ チ ュ ー ト リ アル : I/O 配置
の概要 を参照 し て く だ さ い。
表 1-1 に、 各 I/O 配置段階でサポー ト さ れ る 機能を示 し ます。
表 1‐1 : I/O 配置段階 と 機能
機能
I/O 配置プ ロ ジ ェ ク ト
RTL デザイ ン
合成済みデザイ ン
イ ン プ リ メ ン ト 済み
デザイ ン
CSV および XDC フ ァ イル
か らのポー ト の読み込み
サポー ト
なし
なし
なし
ポー ト の作成または削除
サポー ト あ り
なし
なし
なし
RTL プ ロ ジ ェ ク ト への変
換
サポー ト あ り
なし
なし
なし
読み出 し I/O 規格および XDC フ ァ イルから の配置
サポー ト あ り
サポー ト あ り
サポー ト あ り
サポー ト あ り
互換性デバイ スの設定
サポー ト あ り
サポー ト あ り
サポー ト あ り
サポー ト あ り
コ ン フ ィ ギ ュ レーシ ョ ン サポー ト あ り
モー ド の設定
サポー ト あ り
サポー ト あ り
サポー ト あ り
I/O の基本的な DRC
サポー ト あ り
サポー ト あ り
サポー ト あ り
サポー ト あ り
同時ス イ ッ チ ノ イ ズ (SSN) 解析
サポー ト あ り
サポー ト あ り
サポー ト あ り
サポー ト あ り
ク ロ ッ ク を考慮 し た配置
お よび DRC
なし
なし
サポー ト あ り
サポー ト あ り
最終的な DRC
なし
なし
なし
サポー ト あ り
こ れ ら の段階の詳細は、 第 2 章 「I/O ピ ン配置デザ イ ン フ ロ ー」 を参照 し て く だ さ い。 空の I/O 配置プ ロ ジ ェ ク ト の
作成や RTL デザ イ ンのエ ラ ボ レー ト な ど、 プ ロ ジ ェ ク ト での作業に関す る 詳細は、 『Vivado Design Suite ユーザー ガ
イ ド : シ ス テ ム レベル デザ イ ン入力』 (UG895) [参照 2] を参照 し て く だ さ い。
注記 : Vivado Design Suite は非プ ロ ジ ェ ク ト モー ド で も 実行で き ますが、 最初の I/O の作成 と 割 り 当て をす る 場合は
I/O 配置プ ロ ジ ェ ク ト を使用す る こ と をお勧め し ます。 プ ロ ジ ェ ク ト モー ド お よ び非プ ロ ジ ェ ク ト モー ド の詳細は、
『Vivado Design Suite ユーザー ガ イ ド : デザ イ ン フ ロ ーの概要』 (UG892) [参照 3] を参照 し て く だ さ い。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
5
第 1 章 : 概要
[I/O Planning] レ イ アウ ト
Vivado IDE では、 [I/O Planning] レ イ ア ウ ト を使用 し て次を実行で き ます。
•
デザ イ ン フ ロ ーの初期段階で I/O ポー ト の初期 リ ス ト を作成、 イ ン ポー ト 、 お よ び設定
•
デザ イ ン フ ロ ーの最後にピ ン配置の最終的な検証を実行
•
関連ポー ト を イ ン タ ーフ ェ イ ス に ま と め、 パ ッ ケージ ピ ンに割 り 当て
•
全自動ま たは半自動 イ ン タ ラ ク テ ィ ブ モー ド を使用 し て I/O ポー ト の割 り 当て を制御
•
物理パ ッ ケージ ピ ンお よ びバン ク と 、 それに対応する チ ッ プ上の I/O パ ッ ド 間の関係を表示
•
PCB と FPGA デバ イ ス間の接続を最適化
•
デザ イ ンお よ びデバ イ ス の I/O 要件を解析
•
I/O ピ ン配置の コ ン フ ィ ギ ュ レーシ ョ ン ま たは PCB と FPGA デザ イ ンの両方の要件を満たすピ ン配置を定義
[I/O Planning] レ イ ア ウ ト の詳細は、 第 3 章 「I/O ピ ン配置」 を参照 し て く だ さ い。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
6
第 2章
I/O ピ ン配置デザイ ン フ ロー
概要
Vivado® IDE では、 デザ イ ン フ ロ ーの任意の段階で I/O 配置を実行で き ます。 最 も よ く 使用 さ れ る 方法は、 次の と お
り です。
•
RTL 前の I/O ピ ン配置 と デバ イ ス確認 : ネ ッ ト リ ス ト はな く 、 初期の I/O 配置お よ びボー ド 設計を実行 し ます。
I/O の割 り 当ては、 デザ イ ンの ソ ー ス フ ァ イ ルが使用可能にな る 前であ っ て も 、 I/O 配置プ ロ ジ ェ ク ト を使用 し
て実行で き ます。 CSV フ ァ イ ルは、 I/O 配置用に イ ン ポー ト し た り 、 PCB 回路図シ ン ボル生成ま たは HDL ヘ ッ
ダーの生成用にエ ク ス ポー ト し た り で き ます。
•
RTL ベース の I/O ピ ン配置 : こ の フ ロ ーでは、Vivado IP カ タ ロ グへア ク セ ス可能な RTL デザ イ ン を使用 し ます。
IP カ タ ロ グ を使用す る と 、 コ ネ ク テ ィ ビ テ ィ IP を生成 ク ロ ッ キ ン グ ウ ィ ザー ド を使用 し て ク ロ ッ キ ン グ コ ン
ポーネ ン ト を カ ス タ マ イ ズ し 、SelectIO イ ン タ ーフ ェ イ ス ウ ィ ザー ド を使用 し て SelectIO™ イ ン タ ーフ ェ イ ス リ
ソ ース を コ ン フ ィ ギ ュ レーシ ョ ンで き ます。 こ の段階では、 エ ラ ボ レー ト 済みデザ イ ン を使用 し て初期配置を実
行 し て、CSV フ ァ イ ルを エ ク ス ポー ト す る と 、PCB 回路図シ ン ボル生成に使用で き ます。 こ の段階は、新規 FPGA
デザ イ ンのプ ラ ニ ン グに も 有効です。
•
ネ ッ ト リ ス ト ベース の I/O ピ ン配置 : こ の フ ロ ーでは、 デザ イ ン を合成ま たは イ ンプ リ メ ン ト し てか ら 、 その
ネ ッ ト リ ス ト を使用 し て I/O お よ びボー ド を配置 し ます。 ツールには こ の段階で よ り 詳細な情報が入力 さ れてい
る ので、 自動配置 コ マ ン ド や半自動の イ ン タ ラ ク テ ィ ブ モー ド を使用 し て、 I/O ポー ト の割 り 当て を制御で き ま
す。 [I/O Planning] レ イ ア ウ ト には、 物理パ ッ ケージ ピ ンお よ びバン ク と 、 それに対応する チ ッ プの I/O パ ッ ド 間
の関係が表示 さ れます。 こ れに よ り 、 PCB と FPGA デバ イ ス間の接続を最適化で き ます。
RTL 前の I/O ピ ン配置 と デバイ ス確認
1.
New Project ウ ィ ザー ド で I/O 配置プ ロ ジ ェ ク ト を作成 し ます。
I/O ピ ン配置プ ロ ジ ェ ク ト の作成方法については、『Vivado Design Suite ユーザー ガ イ ド : シ ス テ ム レベル デザ イ
ン入力』 (UG895) [参照 2] を参照 し て く だ さ い。
2.
CSV ま たは XDC フ ァ イ ルを イ ン ポー ト し ます。
詳細は、 第 3 章の 「I/O ポー ト の定義 と 設定」 を参照 し て く だ さ い。
3.
パーツ ま たはボー ド を選択 し ます。
パーツ を選択す る 際に、 必要な リ ソ ース に基づいてデバ イ ス のサ イ ズ を決定 し ます。 パ ッ ケージは、 メ モ リ への
ク リ テ ィ カル配線な ど、 PCB 要件に基づいて選択 し ます。 ス タ ッ ク ド シ リ コ ン イ ン タ ー コ ネ ク ト (SSI) テ ク ノ ロ
ジ を使用す る デザ イ ンについては、 『UltraFast 設計手法ガ イ ド (Vivado Design Suite 用) (UG949) [参照 1] を参照 し
て く だ さ い。 ま たは、 サポー ト さ れ る 評価ボー ド を選択す る こ と も で き ま す。 デザ イ ン フ ロ ーの詳細について
は、『Vivado Design Suite ユーザー ガ イ ド : シ ス テ ム レベル デザ イ ン入力』 (UG895) [参照 2] お よ び 『Vivado Design
Suite ユーザー ガ イ ド : IP イ ン テ グ レー タ ーを使用 し た IP サブシ ス テ ムの設計』 (UG994) [参照 4] を参照 し て く だ
さ い。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
7
第 2 章 : I/O ピ ン配置デザイ ン フ ロー
注記 : 互換性のあ る 代替パーツ を指定す る こ と も で き ます。 詳細は、 第 3 章の 「互換性のあ る 代替デバ イ ス の指
定」 を参照 し て く だ さ い。
4.
コ ン フ ィ ギ ュ レーシ ョ ン、 デジ タ ル制御 イ ン ピーダ ン ス (DCI) カ ス ケー ド 、 お よ び内部電圧基準 (VREF) を選択
し ます。
詳細は、 「デバ イ ス コ ン フ ィ ギ ュ レーシ ョ ン モー ド の設定」 お よ び第 3 章の 「[Device Constraints] ビ ュ ーの設定」
を参照 し て く だ さ い。
5.
I/O ポー ト を コ ン フ ィ ギ ュ レーシ ョ ン し ます。
詳細は、 第 3 章の 「I/O ポー ト の設定」 を参照 し て く だ さ い。 7 シ リ ーズ、 Zynq®-7000 All Programmable お よ び
UltraScale™ デバ イ ス フ ァ ミ リ のパ ッ ケージお よ びピ ン配置仕様については、 『7 シ リ ーズ FPGA パ ッ ケージ配置
製品仕様』 (UG475) [参照 5], 『Zynq-7000 All 配置製品仕様』 (UG865) [参照 6] お よ び 『UltraScale アーキ テ ク チ ャ
パ ッ ケージお よ びピ ン配置製仕様』 (UG575) [参照 7] を参照 し て く だ さ い。 バン キ ン グ ルールについては、 『7 シ
リ ーズ FPGA SelectIO リ ソ ース ユーザー ガ イ ド 』 (UG471) [参照 8] お よ び 『UltraScale アー ク テ ク チ ャ SelectIO リ
ソ ース : Advance 仕様ユーザー ガ イ ド 』 (UG571) [参照 9] を参照 し て く だ さ い。 た と えば、 1 つのバン ク に含め る
こ と がで き る I/O 規格 と で き ない I/O 規格があ り ます。
6.
I/O ポー ト を手動配置 し ます (オプシ ョ ン)。
詳細は、 第 3 章の 「I/O ポー ト の配置」 を参照 し て く だ さ い。
7.
RTL プ ロ ジ ェ ク ト に変換 し ます (オプシ ョ ン)。
I/O 配置プ ロ ジ ェ ク ト で作成 し た I/O ポー ト の割 り 当て を、 RTL プ ロ ジ ェ ク ト に変換で き ます。 詳細は、 第 3 章
の 「RTL デザ イ ンへの変換」 を参照 し て く だ さ い。
a.
MIG、 GT、 お よ び コ ネ ク テ ィ ビ テ ィ IP を定義 し ます。
Vivado IP カ タ ロ グ を使用 し て、 Memory Interface Generator (MIG)、 ギガ ビ ッ ト ト ラ ン シーバー (GT)、 お よ び
コ ネ ク テ ィ ビ テ ィ IP を定義で き ます。 IP の使用の詳細については、 『Vivado Design Suite ユーザー ガ イ ド :
IP を使用 し た設計』 (UG896) [参照 10] を参照 し て く だ さ い。
注記 : イ ーサネ ッ ト IP や PCI Express® (PCIe) テ ク ノ ロ ジ IP な ど の一部の IP には、特別な ピ ン配置要件があ
り ます。 ま た、 高速 メ モ リ イ ン タ ーフ ェ イ ス に も ク ロ ッ キ ン グお よ びス キ ュ ーの要件に基づ く ピ ン配置要件
があ り ます。
b.
主な ク ロ ッ ク 構造を定義 し ます。
詳細は、 第 3 章の 「 ク ロ ッ ク 配置」 を参照 し て く だ さ い。 タ イ ミ ン グ ク ロ ージ ャ を達成す る 方法について
は、『Vivado Design Suite ユーザー ガ イ ド : デザ イ ン解析お よ び ク ロ ージ ャ テ ク ニ ッ ク 』 (UG906) [参照 11] を
参照 し て く だ さ い。
推奨 : Vivado IP カ タ ロ グの Clocking Wizard を使用 し て、混合モー ド ク ロ ッ ク マネージ ャ ー (MMCM) ま たは位相 ロ ッ
ク ループ (PLL) モジ ュ ールを生成 し て ク ロ ッ ク 接続を定義す る の をお勧め し ま す。 詳細は、 『LogiCORE IP Clocking
Wizard 製品ガ イ ド 』 (PG065) [参照 12] を参照 し て く だ さ い。
c.
I/O ポー ト を手動配置 し ます。
詳細は、 第 3 章の 「I/O ポー ト の配置」 を参照 し て く だ さ い。
d.
合成お よ び イ ンプ リ メ ン テーシ ョ ン を実行 し ます。
詳細は、『Vivado Design Suite ユーザー ガ イ ド : 合成』 (UG901) [参照 13] お よ び 『Vivado Design Suite ユーザー
ガ イ ド : イ ンプ リ メ ン テーシ ョ ン』 (UG904) [参照 14] を参照 し て く だ さ い。
推奨 : 合成後は、 イ ンプ リ メ ン テーシ ョ ン前 と 後に DRC お よ び SSN 解析を実行す る こ と をお勧め し ます。 こ う す る
こ と で、 デザ イ ン サ イ ク ルの早期段階で問題を検出可能です。
8.
DRC を実行 し ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
8
第 2 章 : I/O ピ ン配置デザイ ン フ ロー
詳細は、 第 3 章の 「DRC の実行」 を参照 し て く だ さ い。
9.
SSN 解析を実行 し ます。
詳細は、 第 3 章の 「SSN 解析」 を参照 し て く だ さ い。
10. ボー ド 配置を開始 し ます。
ボー ド を設計す る 際は、 次の点を考慮す る 必要があ り ます。
°
ボー ド レベルの検証には、 IBIS (I/O Buffer Information Specification) ま たは HSPICE モデルを使用 し た シ グナ
ル イ ン テ グ リ テ ィ 解析を実行 し ます。詳細は、第 3 章の 「IBIS モデルのエ ク ス ポー ト 」 を参照 し て く だ さ い。
°
ボー ド 全体の環境で ピ ン配置を最適化す る には、 Cadence 社の Allegro FPGA System Planner や Mentor
Graphics 社の I/O Designer な ど のサー ド パーテ ィ ツールに FPGA を イ ン ポー ト し ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
9
第 2 章 : I/O ピ ン配置デザイ ン フ ロー
図 2-1 に、 I/O 配置プ ロ ジ ェ ク ト を使用 し た RTL 前の I/O ピ ン配置フ ロ ーを示 し ます。
X-Ref Target - Figure 2-1
㻵㻛㻻㻌㓄⨨䝥䝻䝆䜵䜽䝖
㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻯㻿㼂㻌䜎䛯䛿㻌㼄㻰㻯㻌䝣䜯䜲䝹䛾䜲䞁䝫䞊䝖
㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌䝕䝞䜲䝇䛚䜘䜃௦᭰஫᥮䝕䝞䜲䝇䛾㑅ᢥ
䝁䞁䝣䜱䜼䝳䝺䞊䝅䝵䞁䚸㻰㻯㻵㻌䜹䝇䜿䞊䝗䚸
䛚䜘䜃ෆ㒊㻌㼂5() 䛾㑅ᢥ
㻌㻵㻛㻻㻌䝫䞊䝖䛾タᐃ
㻵㻛㻻㻌つ᱁䛚䜘䜃ᒓᛶ
<HV
㻹㻵㻳䚸㻳㼀䚸䜎䛯䛿
䝁䝛䜽䝔䜱䝡䝔䜱㻌㻵㻼
䜢ᐃ⩏䛧䛯䛛䠛 1R
㻾㼀㻸㻌䝥䝻䝆䜵䜽䝖䛻ኚ᥮
㻵㻛㻻㻌䝫䞊䝖䛾㓄⨨
㻹㻵㻳䚸㻳㼀䚸䛚䜘䜃䝁䝛䜽䝔䜱䝡䝔䜱㻌㻵㻼㻌䛾ᐃ⩏
୺䛺䜽䝻䝑䜽ᵓ㐀䛾ᐃ⩏
㻰㻾㻯㻌䛾ᐇ⾜
㻵㻛㻻㻌䝫䞊䝖䛾㓄⨨
㻿㻿㻺㻌ゎᯒ䛾ᐇ⾜
ྜᡂ䛚䜘䜃䜲䞁䝥䝸䝯䞁䝔䞊䝅䝵䞁䛾ᐇ⾜
䜽䝻䝑䜽ᵓ㐀䛚䜘䜃㻌㻵㻼㻌䛾㓄⨨䜢᳨ド䛩䜛
㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌㻌᭱ᑠ㝈䛾䝻䝆䝑䜽㻌
䝪䞊䝗㻌䝥䝷䞁䛾㛤ጞ
;
図 2‐1 : RTL 前の I/O ピ ン配置 と デバイ ス確認
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
10
第 2 章 : I/O ピ ン配置デザイ ン フ ロー
RTL ベースの I/O ピ ン配置
1.
New Project ウ ィ ザー ド で RTL プ ロ ジ ェ ク ト を作成 し ます。
RTL プ ロ ジ ェ ク ト の作成方法については、『Vivado Design Suite ユーザー ガ イ ド : シ ス テ ム レベル デザ イ ン入力』
(UG895) [参照 2] を参照 し て く だ さ い。
2.
パーツ ま たはボー ド を選択 し ます。
パーツ を選択す る 際に、 必要な リ ソ ース に基づいてデバ イ ス のサ イ ズ を決定 し ます。 パ ッ ケージは、 メ モ リ への
ク リ テ ィ カル配線な ど、 PCB 要件に基づいて選択 し ます。 ス タ ッ ク ド シ リ コ ン イ ン タ ー コ ネ ク ト (SSI) テ ク ノ ロ
ジ を使用す る デザ イ ンについては、 『UltraFast 設計手法ガ イ ド (Vivado Design Suite 用) (UG949) [参照 1] を参照 し
て く だ さ い。 ま たは、 サポー ト さ れ る 評価ボー ド を選択す る こ と も で き ま す。 デザ イ ン フ ロ ーの詳細について
は、『Vivado Design Suite ユーザー ガ イ ド : シ ス テ ム レベル デザ イ ン入力』 (UG895) [参照 2] お よ び 『Vivado Design
Suite ユーザー ガ イ ド : IP イ ン テ グ レー タ ーを使用 し た IP サブシ ス テ ムの設計』 (UG994) [参照 4] を参照 し て く だ
さ い。
注記 : 互換性のあ る 代替パーツ を指定す る こ と も で き ます。 詳細は、 第 3 章の 「互換性のあ る 代替デバ イ ス の指
定」 を参照 し て く だ さ い。
3.
コ ン フ ィ ギ ュ レーシ ョ ン、 DCI カ ス ケー ド お よ び内部 VREF を選択 し ます。
詳細は、 「デバ イ ス コ ン フ ィ ギ ュ レーシ ョ ン モー ド の設定」 お よ び第 3 章の 「[Device Constraints] ビ ュ ーの設定」
を参照 し て く だ さ い。
4.
I/O ポー ト を コ ン フ ィ ギ ュ レーシ ョ ン し ます。
詳細は、 第 3 章の 「I/O ポー ト の設定」 を 参照 し て く だ さ い。 7 シ リ ーズ、 Zynq-7000 All Programmable お よ び
UltraScale デバ イ ス フ ァ ミ リ のパ ッ ケージお よ びピ ン配置仕様については、『7 シ リ ーズ FPGA パ ッ ケージ配置製
品仕様』 (UG475) [参照 5], 『Zynq-7000 All 配置製品仕様』 (UG865) [参照 6] お よ び 『UltraScale アーキ テ ク チ ャ パ ッ
ケージお よ びピ ン配置製仕様』 (UG575) [参照 7] を参照 し て く だ さ い。 バン キ ン グ ルールについては、 『7 シ リ ー
ズ FPGA SelectIO リ ソ ース ユーザー ガ イ ド 』 (UG471) [参照 8] お よ び 『UltraScale アー ク テ ク チ ャ SelectIO リ ソ ー
ス : Advance 仕様ユーザー ガ イ ド 』 (UG571) [参照 9] を参照 し て く だ さ い。 た と えば、 1 つのバン ク に含め る こ と
がで き る I/O 規格 と で き ない I/O 規格があ り ます。
5.
MIG、 GT、 お よ び コ ネ ク テ ィ ビ テ ィ IP を定義 し ます。
Vivado IP カ タ ロ グ を使用 し て、 MIG、 GT、 お よ び コ ネ ク テ ィ ビ テ ィ IP を定義で き ます。 IP の使用の詳細につい
ては、 『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 10] を参照 し て く だ さ い。
注記 : イ ーサネ ッ ト IP や PCI Express® (PCIe) テ ク ノ ロ ジ IP な ど の一部の IP には、特別な ピ ン配置要件があ り ま
す。 ま た、 高速 メ モ リ イ ン タ ーフ ェ イ ス に も ク ロ ッ キ ン グお よ びス キ ュ ーの要件に基づ く ピ ン配置要件があ り ま
す。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
11
第 2 章 : I/O ピ ン配置デザイ ン フ ロー
6.
主な ク ロ ッ ク 構造を定義 し ます。
詳細は、 第 3 章の 「 ク ロ ッ ク 配置」 を参照 し て く だ さ い。 タ イ ミ ン グ ク ロ ージ ャ を達成す る 方法につい ては、
『Vivado Design Suite ユーザー ガ イ ド : デザ イ ン解析お よ び ク ロ ージ ャ テ ク ニ ッ ク 』 (UG906) [参照 11] を参照 し て
く だ さ い。
推奨 : Vivado IP カ タ ロ グの Clocking Wizard を使用 し て、混合モー ド ク ロ ッ ク マネージ ャ ー (MMCM) ま たは位相 ロ ッ
ク ループ (PLL) モジ ュ ールを生成 し て ク ロ ッ ク 接続を定義す る の をお勧め し ま す。 詳細は、 『LogiCORE IP Clocking
Wizard 製品ガ イ ド 』 (PG065) [参照 12] を参照 し て く だ さ い。
7.
I/O ポー ト を配置 し ます。
I/O ポー ト は、 次のいずれかの方法で配置で き ます。
°
合成お よ び I/O ポー ト の自動配置を実行 し ます。
詳細は、 『Vivado Design Suite ユーザー ガ イ ド : 合成』 (UG901) [参照 13] お よ び 第 3 章の 「I/O ポー ト の自動
配置」 を参照 し て く だ さ い。
°
I/O ポー ト を手動配置 し ます。
詳細は、 第 3 章の 「I/O ポー ト の配置」 を参照 し て く だ さ い。
8.
DRC を実行 し ます。
詳細は、 第 3 章の 「DRC の実行」 を参照 し て く だ さ い。
9.
SSN 解析を実行 し ます。
詳細は、 第 3 章の 「SSN 解析」 を参照 し て く だ さ い。
10. ボー ド 配置を開始 し ます。
ボー ド を設計す る 際は、 次の点を考慮す る 必要があ り ます。
°
ボー ド レベルの検証には、 IBIS (I/O Buffer Information Specification) ま たは HSPICE モデルを使用 し た シ グナ
ル イ ン テ グ リ テ ィ 解析を実行 し ます。詳細は、第 3 章の 「IBIS モデルのエ ク ス ポー ト 」 を参照 し て く だ さ い。
°
ボー ド 全体の環境で ピ ン配置を最適化す る には、 Cadence 社の Allegro FPGA System Planner や Mentor
Graphics 社の I/O Designer な ど のサー ド パーテ ィ ツールに FPGA を イ ン ポー ト し ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
12
第 2 章 : I/O ピ ン配置デザイ ン フ ロー
図 2-2 に、 RTL プ ロ ジ ェ ク ト を使用 し た RTL ベース の I/O ピ ン配置フ ロ ーを示 し ます。
X-Ref Target - Figure 2-2
㻾㼀㻸㻌䝥䝻䝆䜵䜽䝖
䝕䝞䜲䝇䛚䜘䜃௦᭰஫᥮䝕䝞䜲䝇䛾㑅ᢥ
䝁䞁䝣䜱䜼䝳䝺䞊䝅䝵䞁䚸㻰㻯㻵㻌䜹䝇䜿䞊䝗䚸
䛚䜘䜃ෆ㒊㻌㼂㻌㻌㻌㻌㻌䛾㑅ᢥ㻌
5()
㻵㻛㻻㻌䝫䞊䝖䛾タᐃ
㻵㻛㻻㻌つ᱁䛚䜘䜃ᒓᛶ
㻹㻵㻳䚸㻳㼀䚸䛚䜘䜃䝁䝛䜽䝔䜱䝡䝔䜱㻌㻵㻼㻌䛾ᐃ⩏
୺䛺䜽䝻䝑䜽ᵓ㐀䛾ᐃ⩏
1R
㻵㻛㻻㻌䝫䞊䝖䜢㻌
ᡭື䛷㓄⨨㻌䠛
ྜᡂ䛾ᐇ⾜
䜽䝻䝑䜽ᵓ㐀䛚䜘䜃㻌㻵㻼㻌䛾㓄⨨
䜢᳨ド䛩䜛᭱ᑠ㝈䛾䝻䝆䝑䜽
<HV
㻵㻛㻻㻌䝫䞊䝖䛾㓄⨨
㻵㻛㻻㻌䝫䞊䝖䛾⮬ື㓄⨨
㻰㻾㻯㻌䛾ᐇ⾜
㻿㻿㻺㻌ゎᯒ䛾ᐇ⾜
䝪䞊䝗㻌䝥䝷䞁䛾㛤ጞ
;
図 2‐2 : RTL ベースの I/O ピ ン配置
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
13
第 2 章 : I/O ピ ン配置デザイ ン フ ロー
ネ ッ ト リ ス ト ベースの I/O ピ ン配置
1.
New Project ウ ィ ザー ド でプ ロ ジ ェ ク ト を作成 し ます。
合成後プ ロ ジ ェ ク ト の作成方法については、 『Vivado Design Suite ユーザー ガ イ ド : シ ス テ ム レベル デザ イ ン入
力』 (UG895) [参照 2] を参照 し て く だ さ い。
2.
I/O ポー ト を配置 し ます。
合成ま たは イ ンプ リ メ ン ト 済みデザ イ ン を開いて、 次のいずれかの方法で I/O ポー ト を配置 し ます。
°
I/O ポー ト を自動配置 し ます。
詳細は、 第 3 章の 「I/O ポー ト の自動配置」 を参照 し て く だ さ い。
°
I/O ポー ト を手動配置 し ます。
詳細は、 第 3 章の 「I/O ポー ト の配置」 を参照 し て く だ さ い。
3.
DRC を実行 し ます。
詳細は、 第 3 章の 「DRC の実行」 を参照 し て く だ さ い。
4.
SSN 解析を実行 し ます。
詳細は、 第 3 章の 「SSN 解析」 を参照 し て く だ さ い。
5.
ボー ド 配置を開始 し ます。
ボー ド を設計す る 際は、 次の点を考慮す る 必要があ り ます。
°
ボー ド レベルの検証には、 IBIS (I/O Buffer Information Specification) ま たは HSPICE モデルを使用 し た シ グナ
ル イ ン テ グ リ テ ィ 解析を実行 し ます。詳細は、第 3 章の 「IBIS モデルのエ ク ス ポー ト 」 を参照 し て く だ さ い。
°
ボー ド 全体の環境で ピ ン配置を最適化す る には、 Cadence 社の Allegro FPGA System Planner や Mentor
Graphics 社の I/O Designer な ど のサー ド パーテ ィ ツールに FPGA を イ ン ポー ト し ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
14
第 2 章 : I/O ピ ン配置デザイ ン フ ロー
図 2-3 に、 合成ま たは イ ンプ リ メ ン ト 後のネ ッ ト リ ス ト を使用 し たネ ッ ト リ ス ト ベース の I/O ピ ン配置フ ロ ーを示 し
ます。
X-Ref Target - Figure 2-3
ྜᡂ䜎䛯䛿䜲䞁䝥䝸䝯䞁䝔䞊䝅䝵䞁῭䜏䝛䝑䝖䝸䝇䝖
1R
㻵㻛㻻㻌䝫䞊䝖䜢ᡭື
㻌㻌㻌㻌㻌㻌䛷㓄⨨㻌䠛
<HV
㻵㻛㻻㻌䝫䞊䝖䛾㓄⨨
㻵㻛㻻㻌䝫䞊䝖䛾⮬ື㓄⨨
㻰㻾㻯㻌䛾ᐇ⾜
㻿㻿㻺㻌ゎᯒ䛾ᐇ⾜
䝪䞊䝗㻌䝥䝷䞁䛾㛤ጞ
;
図 2‐3 : ネ ッ ト リ ス ト ベースの I/O ピ ン配置
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
15
第 3章
I/O ピ ン配置
[I/O Planning] レ イ アウ ト の使用
Vivado® IDE では、 エ ラ ボ レー ト 済みデザ イ ン、 合成済みデザ イ ン、 イ ンプ リ メ ン ト 済みデザ イ ンで [I/O Planning] レ
イ ア ウ ト を 使用で き ま す。 こ の レ イ ア ウ ト には、 [Package] ビ ュ ー と [Device] ビ ュ ーが含 ま れ ま す。 I/O の情報は、
[Clock Resources]、 [Clock Regions]、 [Package Pins]、 [I/O Ports]、 [Device Constraints] お よ び [Properties] ビ ュ ーに も 表示
さ れます。
注記 : Vivado IDE のビ ューの詳細は、 『Vivado Design Suite ユーザー ガ イ ド : Vivado IDE の使用』 (UG893) [参照 15] を
参照 し て く だ さ い。
ヒ ン ト : I/O 配置プ ロ ジ ェ ク ト の場合は、 [I/O Planning] ビ ュ ー レ イ ア ウ ト がデフ ォ ル ト の レ イ ア ウ ト と し て使用 さ れ
ます。
[I/O Planning] レ イ ア ウ ト を開始す る には、 エ ラ ボ レー ト 、 合成ま たは イ ンプ リ メ ン ト 済みのデザ イ ン を開いて、 次の
いずれか を実行 し ます。
•
[Layout] → [I/O Planning] を ク リ ッ ク し ます。
•
レ イ ア ウ ト セ レ ク タ ーか ら [I/O Planning] を選択 し ます。
•
New Project ウ ィ ザー ド を使用 し て新 し い I/O 配置プ ロ ジ ェ ク ト を作成 し ます。
注記 : I/O ピ ン配置プ ロ ジ ェ ク ト の作成方法については、 『Vivado Design Suite ユーザー ガ イ ド : シ ス テ ム レベル
デザ イ ン入力』 (UG895)[参照 2] を参照 し て く だ さ い。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
16
第 3 章 : I/O ピ ン配置
図 3-1 に、 [I/O Planning] レ イ ア ウ ト を示 し ます。
X-Ref Target - Figure 3-1
図 3‐1 : [I/O Planning] レ イ アウ ト
デバイ ス リ ソ ースの表示
[Device] ビ ュ ーお よ び [Package] ビ ュ ーは、 デバ イ スお よ び配置 さ れた ロ ジ ッ ク リ ソ ース を グ ラ フ ィ カルに表示 し ま
す。 ビ ュ ーで ロ ジ ッ ク オブジ ェ ク ト ま たはデバ イ ス サ イ ト を選択す る と 、 その情報が [Properties] ビ ュ ーに表示 さ れ
ます。 次に、 こ れ ら のビ ュ ーについて詳細に説明 し ます。
ヒ ン ト : 特定のオブジ ェ ク ト ま たはデバ イ ス サ イ ト を検索す る には、[Edit] → [Find] コ マ ン ド を使用 し ます。[Find] ダ
イ ア ロ グ ボ ッ ク ス では、 さ ま ざ ま なオブジ ェ ク ト タ イ プ を検索で き 、 デバ イ ス ま たはデザ イ ン で特定のオブジ ェ ク
ト を検索す る 優れた フ ィ ル タ ー機能 も 含まれてい ます。 [Find Results] ビ ュ ーか ら オブジ ェ ク ト を直接選択で き ます。
プ ロパテ ィ
[Properties] ビ ュ ーには、選択 し たオブジ ェ ク ト のプ ロ パテ ィ が表示 さ れます。選択 し たオブジ ェ ク ト に よ っ て、ビ ュ ー
の タ イ ト ル バーは変化 し ます。 多 く の場合、 [Properties] ビ ュ ーにはオブジ ェ ク ト に関す る 異な る 情報を表示す る 複数
の タ ブがあ り ます。 た と えば図 3-2 は、 I/O ポー ト のプ ロ パテ ィ を表示す る [I/O Port Properties] ビ ュ ーで、 [General]、
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
17
第 3 章 : I/O ピ ン配置
[Properties]、 お よ び [Configure] タ ブが含 ま れ ま す。 [Properties] ビ ュ ーを開 く には、 [Window] → [Properties] を ク リ ッ
ク し ます。
X-Ref Target - Figure 3-2
図 3‐2 : [I/O Port Properties] ビ ュ ー
ヒ ン ト : パ ッ ケージ ピ ンのプ ロ パテ ィ 情報は、 Tcl コ マ ン ド を使用す る と 取得で き ます。 た と えば、 次の コ マ ン ド を
使用す る と 、 指定 し た パ ッ ケ ー ジ
ピ ン に 関す る すべ て の プ ロ パ テ ィ が 表示 さ れ ま す。 report_property
[get_package_pins <pin_number>].次の コ マ ン ド を使用する と 、指定 し たパ ッ ケージ ピ ンの最大 ト レース遅延
が表示 さ れます。get_property MAX_DELAY [get_package_pins <pin_number>].Tcl コ マ ン ド の詳細につい
ては、 『Vivado Design Suite Tcl コ マ ン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835) [参照 16] を参照 し て く だ さ い。
ク ロ ッ ク 領域の リ ソ ースおよび統計
[Clock Regions] ビ ュ ーでは、 ク ロ ッ ク 領域を簡単に選択で き ます。 [Clock Regions] ビ ュ ーで ク ロ ッ ク 領域を選択す る
と 、 [Package] お よ び [Device] ビ ュ ーでその リ ージ ョ ナル ク ロ ッ ク 領域がハ イ ラ イ ト さ れます (図 3-3)。
X-Ref Target - Figure 3-3
図 3‐3 : [Clock Regions] ビ ュ ー
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
18
第 3 章 : I/O ピ ン配置
ク ロ ッ ク 領域をハ イ ラ イ ト し た ら 、 [Properties] ビ ュ ーで選択 し た ク ロ ッ ク 領域のプ ロ パテ ィ を確認で き ます。 [Clock
Region Properties] ビ ュ ーでは、 次を実行で き ます。
•
[Statistics] タ ブ を ク リ ッ ク し て、 選択 し た ク ロ ッ ク 領域の リ ソ ース統計お よ び内容を表示 し ます。
•
[Resources] タ ブ を ク リ ッ ク し て、 ロ ジ ッ ク を割 り 当て る デバ イ ス ク ロ ッ ク リ ソ ース を見つけ ます (図 3-4)。
注記 : [Clock Regions Properties] ビ ュ ーでオブジ ェ ク ト を選択する と 、 [Device] ビ ュ ーな ど、 開いてい る ほかのビ ュ ー
で も 選択 さ れます。
X-Ref Target - Figure 3-4
図 3‐4 : [Clock Region Properties] ビ ュ ーの [Resources] タ ブ
[Clock Resources] ビ ュ ーには、 使用可能な ク ロ ッ ク リ ソ ー ス が表示 さ れ る ので、 グ ロ ーバルお よ び リ ージ ョ ナル ク
ロ ッ ク ツ リ ーのエ レ メ ン ト の配置に役立ち ます。 詳細は、 「[Clock Resources] ビ ュ ーの使用」 を参照 し て く だ さ い。
I/O バン ク リ ソ ース
I/O リ ソ ース は、 [I/O Planning] レ イ ア ウ ト の ど の ビ ュ ーで も 選択で き 、 図 3-3 に示 し た よ う に選択 し たデー タ はほか
のすべてのビ ュ ーでハ イ ラ イ ト 表示 さ れ る ため、 物理パ ッ ケージ と 内部チ ッ プの関係を簡単に確認で き ます。
特定の I/O バン ク に関す る 情報を表示す る には、 次の手順に従い ます。
1.
[Package Pins] ビ ュ ーで I/O バン ク の 1 つを選択 し ます。
2.
[I/O Bank Properties] ビ ュ ー (図 3-5) の下部にあ る タ ブ を ク リ ッ ク し 、 情報を確認 し ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
19
第 3 章 : I/O ピ ン配置
X-Ref Target - Figure 3-5
図 3‐5 : [I/O Bank Properties] ビ ュ ー :
多機能ピ ン
[Package Pins] ビ ュ ー (図 3-6) には、 数種類のデー タ が表形式で表示 さ れます。 こ のビ ュ ーでは、 次を実行で き ます。
•
デー タ の展開表示、 フ ィ ル タ ー処理、 並べ替え
•
列を移動、 非表示、 設定変更 し て、 さ ま ざ ま な多機能ピ ン を表示お よ び比較
•
テ キ ス ト を入力す る か、 ド ロ ッ プダ ウ ン リ ス ト か ら 値を選択 し 、 一部のセルを直接編集
[Package Pins] ビ ュ ーには、 次の情報が含まれます。
•
[Type] : 多機能ピ ンの タ イ プ を示 し ます。
•
[Config] : デバ イ ス コ ン フ ィ ギ ュ レーシ ョ ン モー ド を設定 し た後の多機能ピ ンのピ ン定義を示 し ます。
注記 : 多 く のデバ イ ス コ ン フ ィ ギ ュ レ ーシ ョ ン モー ド で多機能ピ ン が使用 さ れ ま す。 詳細は、 「デバ イ ス コ ン
フ ィ ギ ュ レーシ ョ ン モー ド の設定」 を参照 し て く だ さ い。
•
その他の列 : 多機能ピ ンに関す る ロ ジ ッ ク ま たは コ ン フ ィ ギ ュ レーシ ョ ン モー ド な ど の情報を示 し ます。
•
GT、 メ モ リ コ ン ト ロ ー ラ ー、 ま たは PCI™ ロ ジ ッ ク を含むデザ イ ンで競合す る 多機能ピ ン を示 し ます。
[Package] ビ ュ ーでは、 多機能ピ ンの機能が次のシ ン ボルで示 さ れます。
•
ク ロ ッ ク 兼用ピ ンは、 青い六角形のア イ コ ンで表示 さ れます。
•
VREF ピ ンには、 電源マー ク が表示 さ れます。
重要 : 専用 I/O ピ ンは、 バン ク ではな く タ ーゲ ッ ト デバ イ ス 専用です。 た と えば、 VCCO お よ び GND の よ う な専用
I/O ピはバン ク ではな く デバ イ ス別に設定 さ れてい ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
20
第 3 章 : I/O ピ ン配置
X-Ref Target - Figure 3-6
図 3‐6 : [Package Pins] ビ ュ ー
互換性のあ る代替デバイ スの指定
デザ イ ンに互換性のあ る 代替デバ イ ス を選択で き 、 必要に応 じ て タ ーゲ ッ ト デバ イ ス を変更で き ます。 I/O ピ ン割 り
当てが選択 さ れた代替デバ イ スすべてに対 し て有効か ど う かがチ ェ ッ ク さ れます。現在選択 さ れてい る タ ーゲ ッ ト デ
バ イ ス と 同 じ パ ッ ケージの代替デバ イ ス が選択 さ れ、 で き る だけ多 く の I/O 割 り 当てが保持 さ れます。
互換性のあ る 代替デバ イ ス を定義す る には、 次の手順に従い ます。
1.
[Tools] → [I/O Planning] → [Set Part Compatibility] を ク リ ッ ク し ます。
2.
[Set Part Compatibility] ダ イ ア ロ グ ボ ッ ク ス (図 3-7) で代替デバ イ ス を選択 し 、 [OK] を ク リ ッ ク し ます。
X-Ref Target - Figure 3-7
図 3‐7 : [Set Part Compatibility] ダ イ ア ログ ボ ッ ク ス
選択 さ れ た すべ て の代替デバ イ ス 間で共通の ピ ン が特定 さ れ、 すべ て のデバ イ ス に共通で な い ピ ン に対 し て は
PROHIBIT 制約が設定 さ れます。 選択す る デバ イ ス の数が増え る と 、 配置可能なパ ッ ケージ ピ ンの数が減 り ます。
ま た、 選択 し た代替デバ イ ス のボ ンデ ィ ン グ さ れていない ピ ンに信号が割 り 当て ら れない よ う に、 PROHIBIT 制約が
自動的に設定 さ れます。 使用禁止のパ ッ ケージ ピ ン数を示すダ イ ア ロ グ ボ ッ ク ス が表示 さ れ ます。 使用禁止の ピ ン
は、 [Package]、 [Package Pins]、 [Device] ビ ュ ーで確認で き ま す。 禁止ピ ンは、 斜め線の入っ た丸いア イ コ ン
で
表示 さ れます。
代替の互換性のあるパーツ を定義する Tcl コ マ ン ド
次は、 関連す る Tcl コ マ ン ド です。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
21
第 3 章 : I/O ピ ン配置
•
Tcl コ マ ン ド : set_property KEEP_COMPATIBLE
•
Tcl コ マ ン ド の例 : set_property KEEP_COMPATIBLE xc7k160tfbg676-1 [current_design]
注記 : Tcl コ マ ン ド の詳細については、Vivado Design Suite Properties Reference Guide (UG912) [参照 17] を参照 し て く だ
さ い。
デバイ ス コ ン フ ィ ギ ュ レーシ ョ ン モー ド の設定
デバ イ ス コ ン フ ィ ギ ュ レーシ ョ ン モー ド を設定 し 、 モー ド に関す る 情報を表示す る には、 次の手順に従い ます。
1.
[Tools] → [Edit Device Properties] を ク リ ッ ク し ます。
2.
[Edit Device Properties] ダ イ ア ロ グ ボ ッ ク ス (図 3-8) で [Configuration Modes] カ テ ゴ リ を ク リ ッ ク し 、 次を実行 し
た ら [OK] を ク リ ッ ク し てダ イ ア ロ グ ボ ッ ク ス を閉 じ ます。
°
コ ン フ ィ ギ ュ レーシ ョ ン モー ド のチ ェ ッ ク ボ ッ ク ス をオンに し て、 コ ン フ ィ ギ ュ レーシ ョ ン モー ド を設定
し ます。 コ ン フ ィ ギ ュ レーシ ョ ン モー ド を設定する と 、 次の よ う にな り ます。
-
関連す る I/O ピ ンが [Package Pins] ビ ュ ーの [Config] 列に表示 さ れます。
-
デザ イ ン を保存す る と 、 次の制約が作成 さ れます。
set_property BITSTREAM.CONFIG.PERSIST NO [current_design]
set_property CONFIG_MODE <configuration_mode> [current_design]
°
°
コ ン フ ィ ギ ュ レーシ ョ ン モー ド を ク リ ッ ク し てダ イ ア ロ グ ボ ッ ク ス を開 く と 、説明、コ ン フ ィ ギ ュ レーシ ョ
ン図、 詳細情報への リ ン ク な ど の情報が表示 さ れ ます。 コ ン フ ィ ギ ュ レーシ ョ ン図を印刷す る には、 [Print]
を ク リ ッ ク し ます。
[Prohibit usage of the configuration pins as user I/O and persist after configuration] を オンに し て、 ピ ンが コ ン フ ィ
ギ ュ レーシ ョ ン ピ ン と し て使用 さ れ、 コ ン フ ィ ギ ュ レーシ ョ ン後に汎用 I/O と し ては使用 さ れない よ う に し
ます。 . こ のオプシ ョ ン をオンにす る と 、 デザ イ ン を保存 し た と き に次の制約が作成 さ れます。
set_property BITSTREAM.CONFIG.PERSIST YES [current_design]
注記 : コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ームの設定に関す る 詳細は、『Vivado Design Suite ユーザー ガ イ
ド : プ ロ グ ラ ムお よ びデバ ッ グ』 (UG908) [参照 18] を参照 し て く だ さ い。
重要 : JTAG コ ン フ ィ ギ ュ レーシ ョ ン モー ド が常に選択 さ れてい ます。 JTAG コ ン フ ィ ギ ュ レーシ ョ ン モー ド に加え
て、 も う 1 つ コ ン フ ィ ギ ュ レーシ ョ ン を選択で き ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
22
第 3 章 : I/O ピ ン配置
X-Ref Target - Figure 3-8
図 3‐8 : [Edit Device Properties] ダ イ ア ログ ボ ッ ク ス
3.
[File] → [Save Constraints] を ク リ ッ ク し 、 制約を タ ーゲ ッ ト XDC フ ァ イ ルに保存 し ます。
注記 : コ ン フ ィ ギ ュ レーシ ョ ン モー ド と その他の多機能ピ ン と に競合があ る か ど う か を解析す る 場合は、 「多機能ピ
ン」 を参照 し て く だ さ い。
ヒ ン ト : コ ン フ ィ ギ ュ レーシ ョ ン モー ド を設定す る 場合は、 [Edit] → [Undo] を ク リ ッ ク し て最後のア ク シ ョ ン を取 り
消す こ と がで き ます。 ま たは、 Tcl コ ン ソ ールに undo を入力 し ます。
デバイ ス コ ン フ ィ ギ ュ レーシ ョ ン モー ド を設定する Tcl コ マ ン ド
次は、 コ ン フ ィ ギ ュ レーシ ョ ン モー ド の設定に関す る T c l コ マ ン ド です。
•
Tcl コ マ ン ド : set_property CONFIG_MODE
•
Tcl コ マ ン ド の例 : set_property CONFIG_MODE SPIx2 [current_design]
注記 : デフ ォ ル ト では、 コ ン フ ィ ギ ュ レーシ ョ ン ピ ンが コ ン フ ィ ギ ュ レーシ ョ ン後に も 維持 (persist) さ れ る よ う には
設定 さ れてい ません。 ピ ンが コ ン フ ィ ギ ュ レーシ ョ ン ピ ン と し て使用 さ れ、 コ ン フ ィ ギ ュ レーシ ョ ン後 も 汎用 I/O に
使用 さ れな い よ う にす る には、 次の Tcl コ マ ン ド を 使用 し ま す。 set_property BITSTREAM.CONFIG.PERSIST
YES [current_design].
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
23
第 3 章 : I/O ピ ン配置
[Device Constraints] ビ ュ ーの設定
[Device Constraints] ビ ュ ー (図 3-9) では、 DCI_ CASCADE お よ び INTERNAL_VREF な ど の制約を設定で き ます。FPGA
には、 さ ま ざ ま な規格の イ ン タ ーフ ェ イ ス をサポー ト す る コ ン フ ィ ギ ュ レーシ ョ ン可能な SelectIO™ イ ン タ ーフ ェ イ
ス ド ラ イ バーお よ びレ シーバーが含まれます。 こ の機能には、 出力電流お よ びスルー レー ト のプ ロ グ ラ マブル制御、
デジ タ ル制御 イ ン ピーダ ン ス (DCI) を使用 し たオンチ ッ プ終端、基準電圧 (INTERNAL_VREF) を内部生成す る 機能な
ど が含まれます。 詳細は、 『7 シ リ ーズ FPGA SelectIO リ ソ ース ユーザー ガ イ ド 』 (UG471) [参照 8] お よ び 『UltraScale
アー ク テ ク チ ャ SelectIO リ ソ ース : Advance 仕様ユーザー ガ イ ド 』 (UG571) [参照 9] を参照 し て く だ さ い。
X-Ref Target - Figure 3-9
図 3‐9 : [Device Constraints] ビ ュ ー
DCI_CASCADE 制約の作成
DCI_CASCADE 制約は、 DCI 基準電圧用に近接す る 複数の I/O バン ク を リ ン ク する ために使用 し ます。 DCI 基準電圧
を含む I/O バン ク は 「マ ス タ ー」 と 呼ばれ、 カ ス ケー ド 内のそれ以外の I/O バン ク は 「ス レーブ」 と 呼ばれます。 カ
ス ケー ド のすべてのバン ク は、 デバ イ ス の同 じ I/O 列に配置 さ れてい る 必要があ り ます。
注記 : 7 シ リ ーズ、 Zynq®、 お よ び UltraScale™ デバ イ ス の場合は、 DCI_CASCADE 制約を設定で き ます。 こ の制約の
詳細については、 制約ガ イ ド 』 (UG625) [参照 19] を参照 し て く だ さ い。
DCI_CASCADE 制約を作成す る には、 次の手順に従い ます。
1.
[Device Constraints] ビ ュ ーの一番上の ド ロ ッ プダ ウ ン リ ス ト か ら [DCI Cascade] を選択 し ます (図 3-10)。
X-Ref Target - Figure 3-10
図 3‐10 : [DCI Cascade] ド ロ ッ プダウン メ ニ ュ ー
2.
カ ス ケー ド す る I/O バン ク を右 ク リ ッ ク し 、 [Add DCI Cascade] を ク リ ッ ク し ます。
3.
[Add DCI Cascade] ダ イ ア ロ グ ボ ッ ク ス で新 し い DCI カ ス ケー ド のマ ス タ ー I/O バン ク を選択 し た ら 、 [OK] を ク
リ ッ ク し ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
24
第 3 章 : I/O ピ ン配置
マ ス タ ー バン ク が [Device Constraints] ビ ュ ーに表示 さ れます (図 3-11)。
注記 : DCI カ ス ケー ド には、 マ ス タ ー 1 つ と 少な く と も 1 つの ス レーブ I/O バン ク が必要です。
X-Ref Target - Figure 3-11
図 3‐11 : DCI カ スケー ド のマス タ ー バン ク
ヒ ン ト : ま たは、 [Package] ビ ュ ーま たは [Package Pins] ビ ュ ーで DCI_CASCADE 制約を作成 し ます。 カ ス ケー ド す る
バン ク を右 ク リ ッ ク し 、 [Create a DCI Cascade] を ク リ ッ ク し ます。
DCI_CASCADE 制約を作成する Tcl コ マ ン ド
次は、 関連す る Tcl コ マ ン ド です。
•
Tcl コ マ ン ド : set_property DCI_CASCADE
•
Tcl コ マ ン ド の例 : set_property DCI_CASCADE {31 32} [get_iobanks 36]
DCI カ スケー ド 制約の変更 と 削除
DCI カ ス ケー ド を変更す る には、 [Device Constraints] ビ ュ ーで次を実行 し ます。
•
マ ス タ ーを変更す る には、 DCI カ ス ケー ド を右 ク リ ッ ク し 、 [Add DCI Cascade] を ク リ ッ ク し ます。 [Add DCI
Cascade] ダ イ ア ロ グ ボ ッ ク ス でマ ス タ ーにす る 別のバン ク を選択 し ます。
•
DCI カ ス ケー ド に I/O バン ク を追加する には、 その I/O バン ク を DCI カ ス ケー ド に ド ラ ッ グ ア ン ド ド ロ ッ プ し
ます。
•
DCI カ ス ケー ド か ら I/O バン ク を削除する には、その I/O バン ク を [Unused] フ ォ ルダーに ド ラ ッ グ ア ン ド ド ロ ッ
プ し ます。
•
DCI カ ス ケー ド 全体を削除す る には、 その DCI カ ス ケー ド を右 ク リ ッ ク し て、 [Remove DCI Cascade Banks] を ク
リ ッ ク し ます (図 3-12)。
注記 : こ のア ク シ ョ ン を実行す る T c l コ マ ン ド は次の と お り です。
set_property DCI_CASCADE {} [get_iobanks 36]
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
25
第 3 章 : I/O ピ ン配置
X-Ref Target - Figure 3-12
図 3‐12 : DCI カ スケー ド バン クの削除
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
26
第 3 章 : I/O ピ ン配置
INTERNAL_VREF 制約の作成
FPGA では、 INTERNAL_VREF 制約を イ ネーブルにする と 、 オプシ ョ ン で内部生成の基準電圧を使用す る こ と がで き
ま す。 内部生成に よ り 、 PCB の特定の VREF 電源 レールを提供す る 必要がな く な り 、 該当す る I/O バン ク の多目的
VREF ピ ンは解除 さ れ る ので、 通常の I/O ピ ン と し て使用で き る よ う にな り ます。
ヒ ン ト : INTERNAL_VREF 制約を含ま ない I/O バン ク はすべて [Device Constraints] ビ ュ ーの ] フ ォ ルダーの下に表示
さ れます。
INTERNAL_VREF 制約を作成す る には、[Device Constraints] ビ ュ ー (図 3-13) で該当す る 電圧フ ォ ルダー ([0.75V] な ど
) に I/O バン ク を ド ラ ッ グ ア ン ド ド ロ ッ プ し ます。
X-Ref Target - Figure 3-13
図 3‐13 : 内部 VREF 制約の作成
INTERNAL_VREF 制約を作成する Tcl コ マ ン ド
次は、 関連す る Tcl コ マ ン ド です。
•
Tcl コ マ ン ド : set_property INTERNAL_VREF
•
Tcl コ マ ン ド の例 : set_property INTERNAL_VREF 0.750 [get_iobanks 17]
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
27
第 3 章 : I/O ピ ン配置
コ ン フ ィ ギ ュ レーシ ョ ン バン ク電圧セ レ ク ト (CFGBVS) ピ ンの設定
コ ン フ ィ ギ ュ レーシ ョ ン バン ク 電圧セ レ ク ト (CFGBVS) ロ ジ ッ ク 入力ピ ンは VCCO_0 お よ び GND 間で参照 さ れます。
CFGBVS ピ ンは、High ま たは Low に設定 し て、バン ク 0 の ピ ンの I/O 電圧サポー ト を決定す る 必要があ り ます。Vivado
ツールでは、 Tcl コ マ ン ド を使用 し て CFGBVS 情報を VCCO ま たは GND に設定 し ます。 コ ン フ ィ ギ ュ レーシ ョ ン電
圧や VCCO_0 電圧は 1.5、 3.3、 2.5、 ま たは 1.8 に設定で き ます。 こ れ ら の設定に基づいて、 DRC チ ェ ッ ク がバン ク 0、
14、 15 で実行 さ れます。 こ れ ら の値は、 IBIS モデルをエ ク ス ポー ト す る 際に も 使用 さ れます。
次に例を示 し ます。
set_property CFGBVS VCCO [current_design]
set_property CONFIG_VOLTAGE 3.3 [current_design]
デフ ォ ル ト では、 CFGBVS プ ロ パテ ィ は空です。 Vivado ツールでは、 CFGBVS プ ロ パテ ィ が VCCO ま たは GND に設
定 さ れてい る か ど う かがチ ェ ッ ク さ れます。 CFGBVS プ ロ パテ ィ に値が設定 さ れてい る 場合は、 CONFIG_MODE プ
ロ パテ ィ がチ ェ ッ ク さ れます。 DRC の メ ッ セージは、 そのバン ク に対す る IOSTANDARD お よ び CONFIG_VOLTAGE
設定に基づいて表示 さ れます。
CSV フ ァ イ ルを エ ク ス ポー ト す る と 、 CONFIG_MODE プ ロ パテ ィ の設定に基づいて、 バン ク 0、 14、 15 に VCCO 情
報 が 含 ま れ る よ う に な り ま す。 た と え ば、 JTAG/ バ ウ ン ダ リ ス キ ャ ン を 使用す る 場合 に、 CFGBVS が GND、
CONFIG_VOLTAGE が 3.3 に設定 さ れ て い る と 、 ク リ テ ィ カ ル警告の DRC CFGBVS-4 が表示 さ れ ま す。 こ れは、
CONFIG_VOLTAGE が 3.3 に設定 さ れてい ますが、 1.8 の値を含む VCCO に設定する 必要があ る こ と を示 し てい ます。
注記 : CFGBVS ピ ンの詳細は、 『7 シ リ ーズ FPGA コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド 』 (UG470) [参照 20] お よ
び 『UltraScale アーキ テ ク チ ャ コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド : Advance 仕様』 (UG570) [参照 21] を参照 し
て く だ さ い。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
28
第 3 章 : I/O ピ ン配置
I/O ポー ト の定義 と 設定
Vivado IDE を使用 し て、 I/O ポー ト を イ ン ポー ト 、 作成、 お よ び設定で き ます。
I/O ポー ト のイ ンポー ト
プ ロ ジ ェ ク ト の タ イ プに よ っ て、 次の方法で I/O ポー ト を イ ン ポー ト で き ます。
•
I/O 配置プ ロ ジ ェ ク ト : プ ロ ジ ェ ク ト 作成時、 ま たはフ ァ イ ルの イ ン ポー ト 機能を使用 し て、 空の I/O ピ ン配置プ
ロ ジ ェ ク ト に XDC ま たは CSV フ ァ イ ルを イ ン ポー ト で き ます。 詳細は、 「CSV フ ァ イ ルの イ ン ポー ト 」 お よ び
「XDC フ ァ イ ルの イ ン ポー ト 」 を参照 し て く だ さ い。
•
RTL プ ロ ジ ェ ク ト : RTL フ ァ イ ルま たはヘ ッ ダーを使用 し て I/O ピ ン配置用に RTL プ ロ ジ ェ ク ト を作成 し 、設計
プ ロ セ ス が進行 し てか ら よ り 完全な RTL ソ ース フ ァ イ ルを追加 し ます。 RTL ベース ま たは合成済みネ ッ ト リ ス
ト ベース のプ ロ ジ ェ ク ト を作成す る と 、 [I/O Ports] ビ ュ ーにデザ イ ンで定義 さ れた I/O ポー ト が自動的に表示 さ
れます。
•
I/O 配置プ ロ ジ ェ ク ト を RTL プ ロ ジ ェ ク ト に変換 : I/O ピ ン配置プ ロ ジ ェ ク ト を RTL プ ロ ジ ェ ク ト に変換 し 、 I/O
ポー ト をデザ イ ン の最上位 Verilog ま たは VHDL モジ ュ ール定義に変換で き ま す。 詳細は、 「RTL デザ イ ンへの
変換」 を参照 し て く だ さ い。
CSV フ ァ イルのイ ンポー ト
CSV フ ァ イ ルを イ ン ポー ト し て、 [I/O Planning] レ イ ア ウ ト の [I/O Ports] ビ ュ ーに表示で き ます。 こ れ ら の I/O ポー ト
を物理パ ッ ケージ ピ ンに割 り 当てて、 デバ イ ス ピ ンの設定を定義で き ます。
CSV フ ァ イ ルか ら I/O ポー ト の リ ス ト を イ ン ポー ト する には、 次の手順に従い ます。
1.
[File] → [Import] → [Import I/O Ports] を ク リ ッ ク し ます。
2.
[Import I/O Ports] ダ イ ア ロ グ ボ ッ ク ス (図 3-14) で [CSV File] をオンに し 、 参照ボ タ ン を ク リ ッ ク し て イ ン ポー ト
す る フ ァ イ ルを選択 し ます。
X-Ref Target - Figure 3-14
図 3‐14 : [Import I/O Ports] ダ イ ア ログ ボ ッ ク ス
図 3-15 に、 CSV フ ァ イ ル形式を示 し ます。 CSV は、 FPGA やボー ド 設計で、 デバ イ ス ピ ンお よ びピ ン配置のデー タ
を交換す る ために使用 さ れ る 標準フ ァ イ ル形式です。 Vivado IDE で I/O ピ ン関連のデー タ を イ ン ポー ト す る には、 特
定の CSV フ ァ イ ル形式が必要です。 詳細は、 付録 A 「CSV フ ァ イ ル形式の I/O ポー ト リ ス ト 」 を参照 し て く だ さ い。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
29
第 3 章 : I/O ピ ン配置
X-Ref Target - Figure 3-15
図 3‐15 : CSV フ ァ イル形式の I/O ポー ト リ ス ト
CSV フ ァ イ ルでは、 差動ペア を複数の方法で定義で き ます。 た と えば、DiffPair Signal お よ び DiffPair Type プ ロ パテ ィ
を定義す る と 差動ペアが認識 さ れます。 ま た、 CSV フ ァ イ ルでペアの 1 つのポー ト のみを定義 し た場合、 ま たは 2 つ
のネ ッ ト に適切な名前が使用 さ れてい る 場合、 差動ペアが推論 さ れ ます。 詳細は、 付録 A の 「CSV フ ァ イ ルでの差
動ペア」 を 参照 し て く だ さ い。 差動ペア が推論 さ れ る と 、 ペア の割 り 当て を確認す る メ ッ セージが表示 さ れ ま す (
図 3-16)。
X-Ref Target - Figure 3-16
図 3‐16 : [Infer differential pairs] ダ イ ア ログ ボ ッ ク ス
CSV フ ァ イ ルには、 Vivado IDE では認識 さ れない追加情報を含め る こ と も で き ます。 イ ン ポー ト さ れた CSV フ ァ イ
ルに認識で き ない情報が含まれ る 場合、 [Package Pins] ビ ュ ーのユーザー列に表示 さ れます。 ユーザー CSV フ ィ ール
ド の値を変更ま たは定義す る には、 [Package Pins] ビ ュ ーでポ ッ プア ッ プ メ ニ ュ ーか ら [Set User Column Values] を ク
リ ッ ク し ます。
注記 : CSV フ ァ イ ルのエ ク ス ポー ト の詳細は、 「I/O ピ ン と パ ッ ケージ デー タ のエ ク ス ポー ト 」 を参照 し て く だ さ い。
XDC フ ァ イルのイ ンポー ト
XDC フ ァ イ ルか ら I/O ポー ト の定義を イ ン ポー ト す る には、 次の手順に従い ます。
1.
[File] → [Import] → [Import I/O Ports] を ク リ ッ ク し ます。
2.
[Import I/O Ports] ダ イ ア ロ グ ボ ッ ク ス (図 3-14) で [XDC File] をオンに し 、参照ボ タ ン を ク リ ッ ク し て イ ン ポー ト
す る フ ァ イ ルを選択 し ます。
XDC ではポー ト の方向は定義 さ れていないので、 方向は未定義にな り ます。 I/O ポー ト の方向を定義す る には、 [I/O
Ports] ビ ュ ーでポ ッ プア ッ プ メ ニ ュ ーか ら [Set Direction] を ク リ ッ ク し ます。 [I/O Ports] ビ ュ ーで特定の I/O ポー ト の
方向を直接変更す る こ と も で き ます。 詳細は、 「I/O ポー ト 方向の設定」 を参照 し て く だ さ い。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
30
第 3 章 : I/O ピ ン配置
シ ングルエ ン ド または差動 I/O ポー ト の作成
I/O ピ ン配置プ ロ ジ ェ ク ト では、 新 し いポー ト を手動で定義で き ます。 デバ イ ス の電圧に関す る 情報は、 ザ イ リ ン ク
ス デバ イ ス の資料を参照 し て く だ さ い。
I/O ポー ト を作成す る には、 次の手順に従い ます。
1.
[I/O Ports] ビ ュ ーで、 ポ ッ プア ッ プ メ ニ ュ ーか ら [Create I/O Ports] を ク リ ッ ク し ます。
2.
[Create I/O Ports] ダ イ ア ロ グ ボ ッ ク ス (図 3-17) で次のオプシ ョ ン を指定 し 、 [OK] を ク リ ッ ク し ます。
°
[Name] : 作成す る ポー ト ま たはバ ス の名前を指定 し ます。
°
[Direction] : ポー ト の方向を選択 し ます。
°
[Diff Pair] : 差動ペア信号を定義す る 場合にオンに し ます。
注記 : 差動 I/O ポー ト を作成す る には、 こ のオプシ ョ ン を オンに し ます。 こ れに よ り 2 つのポー ト が作成 さ
れ、 指定 し た名前に _P と _N がそれぞれ付 き ます。
°
[Create Bus] : バ ス を作成す る 場合にバ ス の範囲を指定 し ます。
°
[I/O standard] : I/O 規格を選択 し ます。
°
[Drive strength] : 駆動電流の値を選択 し ます。
°
[Slew type] : スルー レー ト の タ イ プ を選択 し ます。
°
[Pull type] : 抵抗の タ イ プを選択 し ます。
°
[In Term type] : 入力信号の並列終端プ ロ パテ ィ を定義 し ます。
X-Ref Target - Figure 3-17
図 3‐17 : [Create I/O Ports] ダ イ ア ロ グ ボ ッ ク ス
シ ングルエ ン ド または差動 I/O ポー ト を作成する Tcl コ マ ン ド
次は、 関連す る Tcl コ マ ン ド です。
•
Tcl コ マ ン ド : create_port
•
Tcl コ マ ン ド の例 (シ ン グルエン ド I/O ポー ト ) : create_port port_1 -direction in
•
Tcl コ マ ン ド の例 (差動 I/O ポー ト ) : create_port port_2 -direction in -diff_pair
差動ペアの作成 と 分割
I/O ピ ン配置プ ロ ジ ェ ク ト で差動ペア を定義す る には、 次の手順に従い ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
31
第 3 章 : I/O ピ ン配置
1.
[I/O Ports] ビ ュ ーで 2 つの I/O ポー ト を選択 し 、 右 ク リ ッ ク し て [Make Diff Pair] を選択 し ます。
重要 : [Make Diff Pair] オプシ ョ ンは RTL プ ロ ジ ェ ク ト では使用で き ません。 RTL プ ロ ジ ェ ク ト では、 適切な I/O バ ッ
フ ァ ーの イ ン ス タ ン シエーシ ョ ン を使用 し て、 ソ ース コ ー ド で差動ペア を定義する 必要があ り ます。
[Make I/O Diff Pair] ダ イ ア ロ グ ボ ッ ク ス (図 3-18) では、ツールに よ り 2 つの I/O ポー ト が P 側 と N 側に割 り 当て
ら れてい ます。
X-Ref Target - Figure 3-18
図 3‐18 : [Make I/O Diff Pair] ダ イ ア ロ グ ボ ッ ク ス
2.
P 側 と N 側の信号を入れ替え る 場合は [Swap] を ク リ ッ ク し 、 [OK] を ク リ ッ ク し ます。
ヒ ン ト : ポ ッ プア ッ プ メ ニ ュ ーで [Split Diff Pair] を ク リ ッ ク する と 、 差動ペア を 2 つのポー ト に分割で き ます。
差動ペア を分割する Tcl コ マ ン ド
次は、 関連す る Tcl コ マ ン ド です。
•
Tcl コ マ ン ド : make_diff_pair_ports
•
Tcl コ マ ン ド の例 : make_diff_pair_ports port_6 port_5
I/O ポー ト の設定
1 つま たは複数の I/O ポー ト の I/O 規格、 駆動電流、 スルー レー ト の タ イ プ、 抵抗の タ イ プ、 お よ び入力信号の並列
終端を設定で き ます。 こ の方法は、 適切な特性が指定 さ れていない CSV ま たは XDC フ ァ イ ルか ら イ ン ポー ト さ れた
ポー ト を設定す る 場合に便利です。 デバ イ ス の電圧に関す る 情報は、 ザ イ リ ン ク ス デバ イ ス の資料を参照 し て く だ さ
い。
ポー ト ま たはポー ト のグループ を設定す る には、 次の手順に従い ます。
1.
[I/O Ports] ビ ュ ーで、 ポー ト を選択 し ます。
2.
右 ク リ ッ ク し て [Configure I/O Ports] を ク リ ッ ク し ます。
3.
[Configure Ports] ダ イ ア ロ グ ボ ッ ク ス (図 3-19) で次のオプシ ョ ン を指定 し 、 [OK] を ク リ ッ ク し ます。
°
[I/O standard] : I/O 規格を選択 し ます。 I/O 規格は指定 し た と き にはチ ェ ッ ク さ れません。 ポー ト にはど の I/O
規格で も 割 り 当て る こ と がで き ますが、 DRC を実行 し た と き にエ ラ ー と な る 可能性があ り ます。
°
[Drive strength] : 駆動電流の値を選択 し ます。
°
[Slew type] : スルー レー ト の タ イ プ を選択 し ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
32
第 3 章 : I/O ピ ン配置
°
[Pull type] :抵抗の タ イ プ を選択 し ます。
-
[PULLUP] : ト ラ イ ス テー ト 出力ま たは双方向ポー ト に ウ ィ ー ク High を適用 し 、 駆動 さ れていない場合
に フ ロ ーテ ィ ン グ し ない よ う に し ます。
-
[PULLDOWN] : ト ラ イ ス テー ト 出力ま たは双方向ポー ト に ウ ィ ー ク Low を適用 し 、 駆動 さ れていない
場合に フ ロ ーテ ィ ン グ し ない よ う に し ます。
-
[KEEPER] : ト ラ イ ス テー ト 出力ま たは双方向ポー ト に ウ ィ ー ク ド ラ イ バーを適用 し 、 駆動 さ れていな
い場合に値を保持 し ます。
-
[NONE] : ド ラ イ バーは適用 さ れません。
注記 : [I/O Ports] ビ ュ ーの [Pull Type] 列を ク リ ッ ク す る と 、 抵抗 タ イ プ制約を設定で き ます。
°
[In Term type] :入力信号の並列終端プ ロ パテ ィ を定義 し ます。
°
[Fixed] : 論理ポー ト がユーザーの割 り 当てた も のであ る こ と を示 し ます。ポー ト は固定 し て、ビ ッ ト ス ト リ ー
ムがエ ラ ーな く 生成 さ れ る よ う にす る 必要があ り ます。
注記 : [Configure Ports] ダ イ ア ロ グ ボ ッ ク ス では [Fixed] オプシ ョ ンは読み出 し 専用です。 ポー ト を固定す る
には、 [I/O Ports] ビ ュ ーでポー ト を選択 し 、 [Fix Ports] ツールバー ボ タ ン
を ク リ ッ ク す る か、 次の Tcl
コ マ ン ド を Tcl コ ン ソ ールに入力 し ます。
set_property IS_LOC_FIXED true [get_selected_objects]
ま たは、 次の Tcl コ マ ン ド を使用 し ます。
set_property IS_LOC_FIXED true [get_ports <list_of_ports>]
X-Ref Target - Figure 3-19
図 3‐19 : [Configure Ports] ダ イ ア ログ ボ ッ ク ス
注意 : 7 シ リ ーズ、 Zynq、 UltraScale デバ イ ス の場合、 ビ ッ ト ス ト リ ーム フ ァ イ ルを生成す る には、 すべての I/O ポー
ト の PACKAGE_PIN お よ び IOSTANDARD 制約の値が明確に指定 さ れてい る 必要があ り ます。 [I/O Ports] ビ ュ ーに赤
文字で 「default」 と 表示 さ れてい る 場合は、 こ れ ら の値を手動で指定す る 必要があ り ます。 こ れは、 こ れ ら のデバ
イ ス に Low お よ び High 電圧の I/O バン ク が含まれ る ためです。 I/O 規格を割 り 当て る 際には必ず注意 し て く だ さ い。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
33
第 3 章 : I/O ピ ン配置
I/O ポー ト 方向の設定
I/O ポー ト の方向を指定す る には、 次のいずれかの方法を使用 し ます。
•
I/O 配置プ ロ ジ ェ ク ト の場合にのみ、 [I/O Ports] ビ ュ ーで [Direction] 列を ク リ ッ ク し 、 ド ロ ッ プ ダ ウ ン メ ニ ュ ー
か ら 方向を選択 し ます。
•
I/O 配置プ ロ ジ ェ ク ト の場合にのみ、 [I/O Ports] ビ ュ ーでポー ト を ク リ ッ ク し 、 [I/O Port Properties] ビ ュ ーでその
方向を変更 し ます。
•
(I/O 配置プ ロ ジ ェ ク ト のみ) [I/O Ports] ビ ュ ーで I/O ポー ト 、 バ ス、 ま たは イ ン タ ーフ ェ イ ス を右 ク リ ッ ク し 、 [Set
Direction] を ク リ ッ ク し ます。
•
(RTL プ ロ ジ ェ ク ト のみ) RTL ソ ース でポー ト の方向を定義 し ます。
重要 : I/O ピ ン配置プ ロ ジ ェ ク ト では、 ポー ト 方向のプ ロ パテ ィ のみを設定で き ます。 こ のプ ロ パテ ィ を I/O ピ ン配置
プ ロ ジ ェ ク ト 外で変更 し よ う と す る と 、 ク リ テ ィ カル警告が表示 さ れます。
I/O ポー ト イ ン タ ー フ ェ イ スの作成
複数のポー ト ま たはバ ス を ま と めて グループにす る には、 イ ン タ ーフ ェ イ ス を作成 し ます。 イ ン タ ーフ ェ イ ス ポー ト
が 1 つのグループ と し て処理 さ れ る ので、 ピ ンの割 り 当てに有益です。 ピ ン をすべて同時に割 り 当て る と 、 ク ロ ッ ク
領域ま たは PCB 配線関連の イ ン タ ーフ ェ イ ス を ま と めた り 分離 し やす く な り ます。 特定の ロ ジ ッ ク イ ン タ ーフ ェ イ
ス に関連付け ら れた信号の表示お よ び管理 も 容易にな り ます。
イ ン タ ーフ ェ イ ス を作成す る には、 次の手順に従い ます。
1.
[I/O Ports] ビ ュ ーで、 1 つのグループに ま と め る 信号を選択 し ます。
2.
右 ク リ ッ ク し て [Create I/O Port Interface] を ク リ ッ ク し ます。
3.
[Create I/O Port Interface] ダ イ ア ロ グ ボ ッ ク ス (図 3-20) で、 イ ン タ ーフ ェ イ ス の名前を指定 し てオプシ ョ ン を指
定 し 、 [OK] を ク リ ッ ク し ます。
X-Ref Target - Figure 3-20
図 3‐20 : [Create I/O Port Interface] ダ イ ア ロ グ ボ ッ ク ス
イ ン タ ーフ ェ イ ス が [I/O Ports] ビ ュ ーに展開可能な フ ォ ルダー と し て表示 さ れます (図 3-21)。
X-Ref Target - Figure 3-21
図 3‐21 : [I/O Ports] ビ ュ ーの I/O ポー ト イ ン タ ー フ ェ イ ス
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
34
第 3 章 : I/O ピ ン配置
ヒ ン ト : イ ン タ ーフ ェ イ ス を削除す る には、 削除す る イ ン タ ーフ ェ イ ス を選択 し 、 右 ク リ ッ ク し て [Delete] を ク リ ッ
ク す る か、 Delete キーを押 し ます。
イ ン タ ー フ ェ イ スへの I/O ポー ト の追加
イ ン タ ーフ ェ イ ス に I/O ポー ト を追加す る には、 [I/O Ports] ビ ュ ーで次のいずれか を実行 し ます。
•
I/O ポー ト を選択 し 、 イ ン タ ーフ ェ イ ス フ ォ ルダーに ド ラ ッ グ し ます。
•
ポー ト ま たはバ ス を右 ク リ ッ ク し 、 [Assign to Interface] を ク リ ッ ク し ます。 [Select I/O Port Interface] ダ イ ア ロ グ
ボ ッ ク ス で、 イ ン タ ーフ ェ イ ス を選択 し ます。
イ ン タ ー フ ェ イ スから の I/O ポー ト の削除
I/O ポー ト を削除す る には、 [I/O Ports] ビ ュ ーでポー ト を右 ク リ ッ ク し 、 [Unassign from Interface] を ク リ ッ ク し ます。
I/O ポー ト イ ン タ ー フ ェ イ ス を処理する Tcl コ マ ン ド
次は、 I/O ポー ト イ ン タ ーフ ェ イ ス の作成に関連する Tcl コ マ ン ド です。
•
Tcl コ マ ン ド : create_interface
•
Tcl コ マ ン ド の例 :
create_interface interface_1
set_property interface interface_1 [get_ports [list {test_1[3]} {test_1[2]}
{test_1[1]} {test_1[0]} {test_1_n[3]} {test_1_n[2]} {test_1_n[1]} {test_1_n[0]}]]
set_property interface interface_1 [get_ports [list port_2 port_2_N port_1 port_4]]
endgroup
次は、 I/O ポー ト の イ ン タ ーフ ェ イ ス か ら の削除に関連する Tcl コ マ ン ド です。
•
Tcl コ マ ン ド : set_property INTERFACE
•
Tcl コ マ ン ド の例 : set_property INTERFACE "" [get_ports [list port_2 port_2_N]]
I/O ポー ト イ ン タ ー フ ェ イ スの自動推論
IP か ら デザ イ ンの最上位ポー ト ま で接続 さ れ る イ ン タ ーフ ェ イ ス は表示す る こ と がで き ます。 こ れ ら の IP イ ン タ ー
フ ェ イ ス の場合、 Vivado ツールで自動的に ピ ン配置 イ ン タ ーフ ェ イ ス が推論 さ れ、 関連す る 最上位 I/O ポー ト が グ
ループ分け さ れ、 最上位デザ イ ンの コ ン テ キ ス ト 内で イ ン タ ーフ ェ イ ス が記号的に参照 さ れ る よ う にな り ます。 た と
えば、 図 3-22 の場合、 LCD_7bits_tri_0 バ ス は汎用 I/O (GPIO) イ ン タ ーフ ェ イ ス で、 GPIO_59924 ピ ン配置 イ ン
タ ーフ ェ イ ス の下に ま と め ら れてい ます。
プ ロ ジ ェ ク ト がパーツ ではな く ボー ド を タ ーゲ ッ ト に し ていて、 Vivado IP カ タ ロ グ を使用 し て IP を カ ス タ マ イ ズす
る 場合、 [Customize IP] ダ イ ア ロ グ ボ ッ ク ス ま たは Vivado IP イ ン テ グ レー タ ーの [Board Part Interfaces] ビ ュ ーでボー
ド 用の制約を適用で き ます。 I/O ポー ト に関連す る ボー ド パーツ ピ ンは、 [I/O Ports] ビ ュ ーの [Board Part Pin] 列か ら
確認で き ます。 図 3-22 は、 ピ ン配置 イ ン タ ーフ ェ イ ス の GPIO_59924 がボー ド パーツ ピ ンの lcd_7bits[6:0] に
制約付け さ れてい る と こ ろ を示 し てい ます。
注記 : GPIO_59924 の 59924 は、 デザ イ ンに複数の GPIO イ ン タ ーフ ェ イ ス があ る 場合に、 GPIO イ ン タ ーフ ェ イ ス を
識別す る ために使用 さ れてい ます。 番号自体には、 意味はあ り ません。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
35
第 3 章 : I/O ピ ン配置
X-Ref Target - Figure 3-22
図 3‐22 : I/O ポー ト イ ン タ ー フ ェ イ スの自動推論
I/O ピ ンおよび I/O バン クの使用禁止
[I/O Planning] レ イ ア ウ ト では、I/O ピ ン、I/O ピ ンのグループ、 ま たは I/O バン ク を使用禁止に設定で き ます。[Device]、
[Package]、 [Package Pins] ビ ュ ーで ピ ン を選択 し 、 禁止に し ます。
I/O ピ ン ま たは I/O バン ク を使用禁止にす る には、 次の手順に従い ます。
1.
[Device]、 [Package]、 ま たは [Package Pins] ビ ュ ーで、 I/O ピ ン ま たは I/O バン ク を選択 し ます。
2.
右 ク リ ッ ク し て [Set Prohibit] を ク リ ッ ク し ます。
使用禁止に設定 さ れた ピ ンは、 次の よ う に表示 さ れます。
•
[Device] ビ ュ ーお よ び [Package] ビ ュ ーでは、 斜線の入っ た赤丸が表示 さ れます (図 3-23)。
•
[Package Pins] ビ ュ ーの [Prohibit] 列にチ ェ ッ ク マー ク が表示 さ れます。
X-Ref Target - Figure 3-23
図 3‐23 : 使用禁止に設定 さ れたパ ッ ケージ ピ ン
ヒ ン ト : 禁止設定は、 [Package Pins] ビ ュ ーの [Prohibit] 列か ら 削除で き ます。 各禁止を選択す る か、 Ctrl + A ですべて
の ピ ン を選択 し て右 ク リ ッ ク し 、 [Clear Prohibit] を ク リ ッ ク し ます。 ま たは、 次の よ う に Tcl コ マ ン ド を使用 し て、 禁
止設定を削除 し ます。
set_property prohibit 0 [get_sites U17]
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
36
第 3 章 : I/O ピ ン配置
ク ロ ッ ク配置
合成済みま たは イ ンプ リ メ ン ト 済みデザ イ ン を使用す る 場合、 BUFGCTRL、 MMCM、 BUFR、 IDELAYCTRL な ど の
グ ロ ーバルお よ び リ ージ ョ ナル ク ロ ッ ク 関連の ロ ジ ッ ク は、 「[Clock Resources] ビ ュ ーの使用」 で説明す る よ う に、
[Clock Resources] ビ ュ ーを使用 し て手動で配置で き ます。 ク ロ ッ ク ロ ジ ッ ク は、 [Device] ビ ュ ーで も 手動で配置で き
ます。 [Device] ビ ュ ーには、 デバ イ ス特有の リ ソ ース に対 し て適切な ロ ジ ッ ク サ イ ト が表示 さ れます。
注記 : ク ロ ッ ク 配置の詳細は、 『7 シ リ ー ズ FPGA ク ロ ッ ク リ ソ ー ス ユーザー ガ イ ド 』 (UG472) [参照 22] お よ び
『UltraScale アー ク テ ク チ ャ ク ロ ッ キ ン グ リ ソ ース Advance 仕様ユーザー ガ イ ド 』 (UG572) [参照 23] を参照 し て く だ
さ い。
推奨 : ク ロ ッ ク リ ソ ース はピ ン配置を選択す る 前に選択 し てお く こ と をお勧め し ます。 こ れは、 ク ロ ッ ク の選択に よ
り 、 特定の ピ ン配置が検出 さ れ、 その ロ ジ ッ ク の配置を指定で き る か ら です。 ク ロ ッ ク を正 し く 選択する と 、 結果は
良 く な り ます。
ロ ジ ッ ク セルの検索
ロ ジ ッ ク セルを配置す る ために検索す る には、 次の手順に従い ます。
1.
[Edit] → [Find] を ク リ ッ ク し ます。
2.
[Find] ダ イ ア ロ グ ボ ッ ク ス で、 [Find] フ ィ ール ド で [Cells] を選択 し 、 セルの検索条件を定義 し ます。
3.
[Find Results] ビ ュ ーか ら セルを [Clock Resources] ま たは [Device] ビ ュ ーに ド ラ ッ グ し 、最適なデバ イ ス リ ソ ース
に割 り 当て ます。
注記 : 詳細は、 『Vivado Design Suite ユーザー ガ イ ド : Vivado IDE の使用』 (UG893) [参照 15] を参照 し て く だ さ い。
ヒ ン ト : グ ロ ーバル ク ロ ッ ク バ ッ フ ァ ー (BUFGCTRL) な ど、 ロ ジ ッ ク セルを配置す る デバ イ ス上の物理 リ ソ ース も
検索で き ます。 [Find] フ ィ ール ド で [Sites] を選択 し 、 検索条件を定義 し ます。 [Find Results] ビ ュ ーの検索結果を選択
す る と 、 [Clock Resources] ま たは [Device] ビ ュ ーでデバ イ ス リ ソ ース がハ イ ラ イ ト さ れます。
[Clock Resources] ビ ュ ーの使用
[Clock Resources] ビ ュ ーには、 BUFR、 BUFIO、 BUFG、 MMCM、 GT な ど の リ ージ ョ ナルお よ びグ ロ ーバル ク ロ ッ ク
リ ソ ース間の関係が表示 さ れます。[Clock Resources] ビ ュ ーに表示 さ れ る デバ イ ス リ ソ ースは簡略化 さ れてい ますが、
こ れ ら の リ ソ ース間の相対的な位置関係は正 し く 保持 さ れてい ます。
推奨 : Vivado IP カ タ ロ グの Clocking Wizard を使用 し て、混合モー ド ク ロ ッ ク マネージ ャ ー (MMCM) ま たは位相 ロ ッ
ク ループ (PLL) モジ ュ ールを生成 し て ク ロ ッ ク 接続を定義す る の をお勧め し ま す。 詳細は、 『LogiCORE IP Clocking
Wizard 製品ガ イ ド 』 (PG065) [参照 12] を参照 し て く だ さ い。
注記 : [Device] ビ ュ ーに表示 さ れ る FPGA デバ イ ス の詳細のほ と ん ど は、 [Clock Resources] ビ ュ ーには表示 さ れ ませ
ん。
図 3-24 に、 Kintex®-7 K70T デバ イ ス の [Clock Resources] ビ ュ ーを示 し ます。
•
デバ イ ス には 8 つの ク ロ ッ ク 領域が 4x2 の行列で配置 さ れ、 デバ イ ス の左下 X0Y0 か ら 右上 X1Y3 に向か っ て番
号が付け ら れてい ます。
•
各 ク ロ ッ ク 領域には、 CCIO ( ク ロ ッ ク 兼用 I/O)、 BUFIO、 BUFR を含む I/O バン ク も あ り 、 こ れ ら も [Clock
Resources] ビ ュ ーに表示 さ れます。
•
デバ イ ス は、 4 つの ク ロ ッ ク 領域を含む上半分 と 、 4 つの ク ロ ッ ク 領域を含む下半分に分割 さ れてい ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
37
第 3 章 : I/O ピ ン配置
•
デバ イ ス のグ ロ ーバル ク ロ ッ ク を制御する BUFG は、 デバ イ ス の中央列にあ り ます。
X-Ref Target - Figure 3-24
図 3‐24 : [Clock Regions] ビ ュ ー
レ ベルの展開/非展開
レベルを展開ま たは非展開に し て必要な情報のみを表示す る には、 次を実行 し ます。
•
プ ラ ス記号 (+) お よ びマ イ ナ ス記号 (-) を ク リ ッ ク し て、 ツ リ ーの該当す る 部分を展開ま たは非展開に し ます。
•
ツールバーの [Expand All]
非展開に し ます。
お よ び [Collapse All]
ボ タ ン を ク リ ッ ク し て、 すべての ツ リ ーを展開ま たは
オブ ジ ェ ク ト 選択の連動
ビ ュ ー間でのオブジ ェ ク ト の選択を連動 さ せ る には、 [Clock Resources] ビ ュ ーで次のいずれか を実行 し ます。
•
ク ロ ッ ク 領域ま たは I/O バン ク の名前を ク リ ッ ク し ます。
こ の方法を使用 し て、 デバ イ ス、 パ ッ ケージ、 ま たはネ ッ ト リ ス ト で特定のオブジ ェ ク ト をすばや く 見つけ る こ
と がで き ます。
•
ツールバーの [Automatically scroll to selected object] ボ タ ン
ク ト が表示 さ れ る よ う に し ます。
を ク リ ッ ク し て、別のビ ュ ーで選択 し たオブジ ェ
こ の方法を使用 し て、 デバ イ ス の特定 リ ソ ース を [Clock Resources] ビ ュ ーですばや く 見つけ る こ と がで き ます。
ヒ ン ト : こ の機能を オ フ に し て、 ほかのビ ュ ーでオブジ ェ ク ト 選択 さ れ る たびに、 表示 さ れ る リ ソ ース が変わ る のを
避け る こ と がで き ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
38
第 3 章 : I/O ピ ン配置
デザイ ン セルの配置
[Clock Resources] ビ ュ ーには [Site] と [Cell] の 2 つの列があ り 、 デバ イ ス リ ソ ース と それに割 り 当て ら れてい る デザ
イ ン セルが示 さ れます。
デザ イ ン セルを配置す る には、 次の手順に従い ます。
1.
[Find Results]、 [Schematic]、 [Netlist]、 ま たは [I/O Ports] ビ ュ ーで、 デバ イ ス リ ソ ース に配置する ロ ジ ッ ク セルを
選択 し ます。
2.
セルを [Clock Resources] ビ ュ ーの適切なデバ イ ス リ ソ ース の [Cell] 列に ド ラ ッ グ し ます。
[Clock Resources] ビ ュ ーにセルを ド ラ ッ グす る と 、 そのセルを配置で き ないサ イ ト ではカー ソ ルが ス ラ ッ シ ュ の
入っ た円に変わ り 、 配置で き る サ イ ト では長方形にな り ます。
重要 : セルを配置す る 際は、グ ロ ーバルお よ び リ ージ ョ ナル ク ロ ッ ク ツ リ ー構造に関す る 規則お よ び制限が適用 さ れ
ます。 こ れ ら の規則お よ び制限に関す る 情報は、 『7 シ リ ーズ FPGA ク ロ ッ ク リ ソ ース ユーザー ガ イ ド 』 (UG472) [参
照 22] お よ び 『UltraScale アー ク テ ク チ ャ ク ロ ッ キ ン グ リ ソ ース Advance 仕様ユーザー ガ イ ド 』 (UG572) [参照 23] を
参照 し て く だ さ い。
[Device] ビ ュ ーでの ク ロ ッ ク ロ ジ ッ ク の配置
ク ロ ッ ク ロ ジ ッ ク を手動で配置す る には、 次の手順に従い ます。
1.
[Device] ビ ュ ーを拡大表示 し 、 ロ ジ ッ ク を配置する デバ イ ス サ イ ト を表示 し ます。
2.
ツールバーの [Cell Drag & Drop Modes] ボ タ ン を ク リ ッ ク し 、 [Create Site Constraint Mode]
3.
[Find Results]、 [Schematic]、 [Netlist]、 ま たは [I/O Ports] ビ ュ ーで配置する ロ ジ ッ ク セルを選択 し 、 [Device] の適
切なデバ イ ス リ ソ ース に ド ラ ッ グ し ます。
をオンに し ます。
I/O ポー ト の配置
[I/O Planning] レ イ ア ウ ト では、 複数の方法で I/O ポー ト をパ ッ ケージ ピ ンに割 り 当て る こ と がで き ます。 [I/O Ports]
ビ ュ ーで個別の I/O ポー ト 、 I/O ポー ト のグループ、 ま たは イ ン タ ーフ ェ イ ス を選択 し 、 [Package] ビ ュ ーでパ ッ ケー
ジ ピ ンに、 ま たは [Device] ビ ュ ーで I/O パ ッ ド に割 り 当て る こ と がで き ます。
[Package] ビ ュ ーでは、 次の操作を実行で き ます。
•
ポー ト をパ ッ ケージ ピ ンに ド ラ ッ グ ア ン ド ド ロ ッ プ し ます。
•
ポー ト の配置 と 制約を確認 し ます。
•
ピ ン上にカー ソ ルを移動 し 、 ビ ュ ーの上 と 左に I/O ピ ンの座標を表示 し ます。
•
ピ ン上にカー ソ ルを置 き 、 ピ ン情報を含むツール ヒ ン ト を表示 し ます。
•
差動ペアは、 [Show Differential Pairs] ツールバー ボ タ ン
を使用する と 表示で き ます。
注記 : I/O ピ ンお よ びバン ク のその他の情報は、 Vivado IDE の下部にあ る ス テー タ ス バーに表示 さ れます。
I/O ポー ト の順次配置
I/O ポー ト を順次に配置す る には、 次の手順に従い ます。
1.
[I/O Ports] ビ ュ ーで、 個別の I/O ポー ト 、 I/O ポー ト のグループ、 ま たは イ ン タ ーフ ェ イ ス を選択 し ます。
2.
次のいずれか を実行 し ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
39
第 3 章 : I/O ピ ン配置
°
[I/O Ports] ビ ュ ーで、 ポ ッ プア ッ プ メ ニ ュ ーか ら [Place I/O Ports Sequentially] を ク リ ッ ク し ます。
°
[Package] ま たは [Device] ビ ュ ーで、 ツールバーの [Place Ports] ボ タ ン を ク リ ッ ク し 、 [Place I/O Ports
Sequentially]
をオンに し ます。
カー ソ ルをパ ッ ケージ ピ ン ま たは I/O パ ッ ド 上に移動す る と 、 グループの最初の I/O ポー ト がカー ソ ル と 共に移
動 し ます。 ツール ヒ ン ト には、 I/O ポー ト 名お よ びパ ッ ケージ ピ ン名が表示 さ れます。
3.
ピ ン ま たはパ ッ ド を ク リ ッ ク す る と 、 I/O ポー ト が割 り 当て ら れます。
配置 さ れていない I/O ポー ト が残っ てい る 場合は、 コ マ ン ド は継続 さ れ ます。 I/O ポー ト がすべて割 り 当て ら れ
る ま で こ の操作を繰 り 返すか、 ま たは Esc キーを押 し てモー ド を解除 し ます。
ヒ ン ト : ポー ト は、 [I/O Ports] ビ ュ ーに表示 さ れてい る 順序で割 り 当て ら れます。 割 り 当ての前に [I/O Ports] ビ ュ ーで
ポー ト を並べ替え る と 、 並べ替え ら れた順序で割 り 当て ら れます。
図 3-25 に I/O ポー ト を順次に配置 し てい る と こ ろ を示 し ます。
X-Ref Target - Figure 3-25
図 3‐25 : I/O ポー ト を順次に配置
I/O ポー ト の I/O バン ク への配置
I/O ポー ト を I/O バン ク に配置す る には、 次の手順に従い ます。
1.
[I/O Ports] ビ ュ ーで、 個別の I/O ポー ト 、 I/O ポー ト のグループ、 ま たは イ ン タ ーフ ェ イ ス を選択 し ます。
2.
次のいずれか を実行 し ます。
°
[I/O Ports] ビ ュ ーのポ ッ プア ッ プ メ ニ ュ ーか ら [Place I/O Ports in an I/O Bank] を ク リ ッ ク し ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
40
第 3 章 : I/O ピ ン配置
°
[Package] ま たは [Device] ビ ュ ーで、 ツールバーの [Place Ports] ボ タ ン を ク リ ッ ク し 、 [Place I/O Ports in an I/O
Bank]
を オンに し ます。
カー ソ ルをパ ッ ケージ ピ ン ま たは I/O パ ッ ド 上に移動する と 、 I/O ポー ト のグループがカー ソ ル と 共に移動 し ま
す。 ツール ヒ ン ト には、 カー ソ ルの置かれた I/O バン ク に配置可能な ピ ン数が表示 さ れます。
3.
ピ ン ま たはパ ッ ド を ク リ ッ ク す る と 、 選択 さ れた I/O ポー ト が割 り 当て ら れます。
I/O バン ク に収ま ら ない数の I/O ポー ト が選択 さ れてい る 場合、選択 さ れた I/O バン ク にで き る だけ多 く のポー ト
が配置 さ れ、 残 り のポー ト を配置す る 別の I/O バン ク を選択で き ます。 I/O ポー ト がすべて配置 さ れ る ま で こ の
操作を繰 り 返すか、 ま たは Esc キーを押 し てモー ド を解除 し ます。
ヒ ン ト : ポー ト は、 [I/O Ports] ビ ュ ーに表示 さ れてい る 順序で割 り 当て ら れます。 割 り 当ての前に [I/O Ports] ビ ュ ーで
ポー ト を並べ替え る と 、 並べ替え ら れた順序で割 り 当て ら れます。
デバ イ ス リ ソ ー スへのポー ト の割 り 当て も 、 I/O バン ク の最初の選択か ら 実行 さ れ ます。 I/O バン ク の一端にあ る ピ
ン を選択す る と 、 バ ス が I/O バン ク 全体に割 り 当て ら れます。
バ ス に関連す る PCB 配線 も 考慮 さ れます。 ピ ンは、 割 り 当て領域内でバ ス ビ ッ ト のベ ク タ ーが保持 さ れ る よ う な順
序で割 り 当て ら れます。 割 り 当てパ タ ーンは、 その他のバ ス配線の考慮事項に対応す る よ う カ ス タ マ イ ズで き ます。
図 3-26 に、 I/O バン ク に I/O ポー ト を配置する と こ ろ を示 し ます。
X-Ref Target - Figure 3-26
図 3‐26 : I/O ポー ト の I/O バン ク への配置
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
41
第 3 章 : I/O ピ ン配置
ポー ト を I/O バン ク に配置する Tcl コ マ ン ド
次は、 関連す る Tcl コ マ ン ド です。
•
Tcl コ マ ン ド : place_ports -iobank
•
Tcl コ マ ン ド の例 : place_ports -iobank [get_iobanks {12 13 14 15}] [all_inputs]
ヒ ン ト : すべ て の バ ン ク の ポ ー ト を 配置す る に は、 次の Tcl コ マ ン ド を 使用 し ま す。 place_ports -iobanks
[lrange [get_iobanks] 1 end] <port list>place_ports コ マ ン ド はバン ク 0 に対 し てはサポー ト さ れま
せん。
I/O ポー ト の定義 さ れた領域への配置
I/O ポー ト を定義 さ れた領域に配置す る には、 次の手順に従い ます。
1.
[I/O Ports] ビ ュ ーで、 個別の I/O ポー ト 、 I/O ポー ト のグループ、 ま たは イ ン タ ーフ ェ イ ス を選択 し ます。
2.
次のいずれか を実行 し ます。
°
[I/O Ports] ビ ュ ーで、 ポ ッ プア ッ プ メ ニ ュ ーか ら [Place I/O Ports in Area] を ク リ ッ ク し ます。
°
[Package] ま たは [Device] ビ ュ ーで、 ツールバーの [Place Ports] ボ タ ン を ク リ ッ ク し 、 [Place I/O Ports in Area]
をオンに し ます。
カー ソ ルが十字型に変化 し 、 ポー ト を配置す る 長方形を定義で き る よ う にな り ます。
3.
[Package] ビ ュ ーま たは [Device] ビ ュ ーのいずれかで長方形を描画 し 、 割 り 当て領域を定義 し ます。
定義 し た領域に選択 さ れた I/O ポー ト すべて を配置で き ない場合は、 コ マ ン ド が継続 し ます。 カー ソ ルは十字型
の ま ま で、 残 り の I/O ポー ト がすべて配置 さ れ る ま で、 長方形を続け て描画で き ま す。 Esc キーを押す と 、 I/O
ポー ト を配置 し 終え る 前に コ マ ン ド を終了で き す。
ヒ ン ト : ポー ト は、 [I/O Ports] ビ ュ ーに表示 さ れてい る 順序で割 り 当て ら れます。 割 り 当ての前に [I/O Ports] ビ ュ ーで
ポー ト を並べ替え る と 、 並べ替え ら れた順序で割 り 当て ら れます。
長方形の描画方向に よ っ て割 り 当て順序が変わ り ます。 I/O ポー ト は最初に選択 さ れた長方形の座標の内側の ピ ンか
ら 順に割 り 当て ら れます。 領域の長方形の定義を工夫す る こ と に よ り 、 PCB 配線の観点か ら 有益な ピ ン配置が得 ら れ
ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
42
第 3 章 : I/O ピ ン配置
図 3-27 に、 I/O ポー ト を領域に配置す る と こ ろ を示 し ます。
X-Ref Target - Figure 3-27
図 3‐27 : I/O ポー ト の領域への配置
配置済み I/O ポー ト の入れ替え
配置済みの 2 つの I/O ポー ト の配置を入れ替え る には、 次の手順に従い ます。
1.
使用可能な ビ ュ ーで 2 つの I/O ポー ト を選択 し ます。
2.
右 ク リ ッ ク し て [Swap Locations] を ク リ ッ ク し ます。
重要 : イ ンプ リ メ ン ト 済みデザ イ ンで固定 さ れていない 2 つのポー ト の配置を入れ替え る と 、 入れ替え る こ と に よ り
ポー ト の配置が固定 さ れ、 制約が XDC フ ァ イ ルに記述 さ れます。
配置済み I/O ポー ト の移動
既に割 り 当て ら れてい る ポー ト ま たはポー ト のグループを移動す る には、 選択 し て別の場所に ド ラ ッ グ し ます。 あ る
I/O バン ク のポー ト グループ を別の I/O バン ク に移動する 場合、 選択 し たポー ト に最適な場所が自動的に検出 さ れま
す。
注記 : こ れは、 [Place I/O Ports in an I/O Bank] コ マ ン ド を使用する の と 同様です。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
43
第 3 章 : I/O ピ ン配置
I/O ポー ト の自動配置
I/O ポー ト はパ ッ ケージ ピ ン に自動的に割 り 当て る こ と がで き ます。 自動配置では、 I/O 規格お よ び差動ペアの規則
すべてに従い、 グ ロ ーバル ク ロ ッ ク ピ ンが正 し く 配置 さ れます。
I/O ポー ト を自動配置す る には、 次の手順に従い ます。
1.
[I/O Ports] ビ ュ ーで、 自動配置す る I/O ポー ト を選択 し ます。
2.
[Tools] → [I/O Planning] → [Autoplace I/O Ports] を ク リ ッ ク し ます。
注記 : ま たは、 [I/O Ports] ビ ュ ーでポ ッ プア ッ プ メ ニ ュ ーか ら [Auto-place I/O Ports] を ク リ ッ ク し ます。
3.
Autoplace I/O Ports ウ ィ ザー ド (図 3-28) で、 配置する I/O ポー ト を選択 し 、 [Next] を ク リ ッ ク し ます。
X-Ref Target - Figure 3-28
図 3‐28 : Autoplace I/O Ports ウ ィ ザー ド
4.
既にパ ッ ケージ ピ ンに割 り 当て ら れてい る I/O ポー ト を選択 し てい る 場合、 [Placed I/O Ports] ページ (図 3-29) で
オプシ ョ ン を選択 し 、 [Next] を ク リ ッ ク し ます。
X-Ref Target - Figure 3-29
図 3‐29 : Autoplace I/O Ports ウ ィ ザー ド の [Placed I/O Ports] ページ
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
44
第 3 章 : I/O ピ ン配置
5.
[Place I/O Ports Summary] で [Finish] を ク リ ッ ク し ます。
ギガ ビ ッ ト ト ラ ン シーバーの I/O ポー ト の配置
GT を よ り 適切に管理す る ため、 [I/O Planning] レ イ ア ウ ト のビ ュ ーでは、 関連す る 2 つの I/O 差動ペア と GTX ロ ジ ッ
ク オブジ ェ ク ト が、 選択、 配置、 移動の際に自動的にグループ化 さ れます。 GT の複数のオブジ ェ ク ト は 1 つのオブ
ジ ェ ク ト と し て選択 さ れ、 ま と めて移動 さ れ る ので、 GT リ ソ ース の無効な配置は実行 さ れません。
イ ン タ ラ ク テ ィ ブ DRC がオンの場合、 ポー ト 配置中に、 GTX の周囲の ノ イ ズの影響を受けやすい I/O ピ ンが自動的
に配置禁止にな り ます。 詳細は、 「 イ ン タ ラ ク テ ィ ブ DRC のデ ィ ス エーブル/ イ ネーブル」 を参照 し て く だ さ い。
I/O 配置制約の削除
配置制約を削除す る には、 配置 さ れた ロ ジ ッ ク を右 ク リ ッ ク し 、 [Unplace] を ク リ ッ ク し ます。
DRC の実行
DRC の実行は、 ピ ン配置で最 も 重要な手順です。 DRC では指定 し たデザ イ ン ルール チ ェ ッ ク のセ ッ ト に対 し て現在
のデザ イ ンがチ ェ ッ ク さ れ、 エ ラ ーや違反が レ ポー ト さ れ ます。 こ のセ ク シ ョ ン では、 I/O ポー ト お よ び ク ロ ッ ク に
関す る DRC の実行 と 、 DRC 違反の表示について説明 し ます。
注記 : ア ド バン ス な DRC 制御については、 『Vivado Design Suite Tcl コ マ ン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835) [参照 16]
を参照 し て く だ さ い。
I/O ポー ト および ク ロ ッ ク ロ ジ ッ ク 関連の DRC の実行
実行す る DRC ルールを選択す る には、 次の手順に従い ます。
1.
[Tools] → [Report DRC] を ク リ ッ ク し ます。
注記 : ま た は、 Flow Navigator の [Report DRC] を ク リ ッ ク す る か、 Tcl コ ン ソ ール に 「report_drc -name
<results_name>」 と 入力 し ます。
2.
[Report DRC] ダ イ ア ロ グ ボ ッ ク ス (図 3-30) で次のオプシ ョ ン を設定 し 、 [Next] を ク リ ッ ク し ます。
°
°
°
[Results name] : DRC 結果の名前を指定 し ます。 こ こ で指定 し た名前が [DRC] ビ ュ ーの タ ブに表示 さ れま
す。 わか り やすい名前に し てお く と 、 デバ ッ グ中に [DRC] ビ ュ ーで特定の run が識別 し やす く な り ます。 デ
フ ォ ル ト では、 入力 し た名前が出力フ ァ イ ル名にな り ます。
[Output file] : DRC 結果を フ ァ イ ルに保存する 際の フ ァ イ ルの名前を入力で き ます (オプシ ョ ン)。 デフ ォ ル
ト 以外のパ ス を選択す る 場合は、 参照ボ タ ン を使用 し て く だ さ い。
[Rule deck] : デザ イ ンで実行す る ルール デ ッ ク を指定 し ます。 ルール デ ッ ク は、 一部のデザ イ ン ルール
チ ェ ッ ク を ま と めた コ レ ク シ ョ ンで、 合成後や イ ンプ リ メ ン テーシ ョ ン な ど、 FPGA デザ イ ン フ ロ ーの さ ま
ざ ま な段階で実行 さ れます。
-
[default] : ザ イ リ ン ク ス の推奨す る チ ェ ッ ク のデフ ォ ル ト セ ッ ト を実行 し ます。
-
[bitstream_checks] : ビ ッ ト ス ト リ ーム生成に関す る チ ェ ッ ク を実行 し ます。
-
[methodology_checks] : エ ラ ボ レー ト 済みデザ イ ン を開いた と き に XDC フ ァ イ ル と RTL フ ァ イ ルの
チ ェ ッ ク を実行 し ます。
-
[opt_checks] : ロ ジ ッ ク 最適化に関す る チ ェ ッ ク を実行 し ます。
-
[placer_checks] : 配置に関す る チ ェ ッ ク を実行 し ます。
-
[router_checks] : 配線に関す る チ ェ ッ ク を実行 し ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
45
第 3 章 : I/O ピ ン配置
°
[timing_checks] : タ イ ミ ン グ制約に関す る チ ェ ッ ク を実行 し ます。
[Rules to Check] : ルール デ ッ ク を指定 し た ら 、 必要に応 じ て実行する ルールを変更 し ます。
X-Ref Target - Figure 3-30
図 3‐30 : [Report DRC] ダ イ ア ログ ボ ッ ク ス
DRC を実行する Tcl コ マ ン ド
次は、 関連す る Tcl コ マ ン ド です。
•
Tcl コ マ ン ド : report_drc
•
Tcl コ マ ン ド の例 : report_drc -ruledeck placer_checks -file C:/Data/DRC_Rpt1.txt
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
46
第 3 章 : I/O ピ ン配置
イ ン タ ラ ク テ ィ ブ DRC のデ ィ ス エーブル/イ ネーブル
Vivado IDE では、 I/O 配置中、 ピ ン配置が有効であ る か ど う かが確認 さ れますが、 最終的な DRC は Vivado イ ンプ リ
メ ン テーシ ョ ンでのみ実行可能です。 そのため、 最終的な ピ ン配置が有効か ど う か確認す る には、 イ ンプ リ メ ン テー
シ ョ ン ま で実行す る 必要があ り ます。
ピ ン配置中は、 イ ン タ ラ ク テ ィ ブな I/O 配置ルーチンに よ り 、 よ く 発生する エ ラ ーがチ ェ ッ ク さ れます。 こ の機能は、
次のいずれか を実行 し てオン/オ フ を切 り 替え る こ と がで き ます。
•
[Device] ま たは [Package] ビ ュ ーで、 ツールバーの [Autocheck I/O Placement] ボ タ ン
を ク リ ッ ク し ます。
•
[Tools] → [Options] を ク リ ッ ク し 、 [Vivado Options] ダ イ ア ロ グ ボ ッ ク ス の [General] ページで [Automatically
enforce legal I/O placement] を オン/オ フ に し ます。
こ の自動チ ェ ッ ク 機能を オンにす る と 、 問題を引 き 起 こ すピ ンに I/O ポー ト を配置で き な く な り ます。 [Place I/O Ports
Sequentially] を オン し て I/O ポー ト を順次に割 り 当て る 場合、問題のあ る ピ ンに I/O ポー ト を配置 し よ う と する と 、I/O
ポー ト を配置で き ない理由が ツール ヒ ン ト に表示 さ れます。 イ ン タ ラ ク テ ィ ブ DRC は、 デフ ォ ル ト でオンにな っ て
い ます。
重要 : こ れ ら の DRC のほ と ん どは、 合成済みま たは イ ンプ リ メ ン ト 済みデザ イ ンに対 し てのみ実行 さ れます。
イ ン タ ラ ク テ ィ ブ I/O 配置ルールには、 次の も のが含まれます。
•
•
禁止 :
°
GT に関連す る ノ イ ズの影響を受けやすい ピ ン ま たは ノ イ ズの影響を受けやす く な る 可能性のあ る I/O パ ッ
ケージ ピ ンへの配置
°
I/O 規格に違反す る 配置
確認 :
°
バン ク でサポー ト さ れていない I/O 規格が使用 さ れていない
°
バン ク に互換性のない VCC ポー ト が割 り 当て ら れていない
°
VREF ポー ト が必要なバン ク に使用可能な VREF ピ ン あ る
°
グ ロ ーバル ク ロ ッ ク お よ び リ ージ ョ ナル ク ロ ッ ク が適切に割 り 当て ら れてい る (ネ ッ ト リ ス ト お よ び XDC
フ ァ イ ルが イ ン ポー ト さ れてい る 場合のみ)
°
差動 I/O ポー ト が正 し い極性ピ ンに割 り 当て ら れてい る
°
出力ピ ンが入力のみの ピ ンに配置 さ れていない
推奨 : I/O ポー ト の配置は、 イ ン タ ラ ク テ ィ ブ DRC を オンに し て実行す る こ と をお勧め し ます。 I/O ポー ト お よ び ク
ロ ッ ク 領域の仕様については、 該当す る デバ イ ス の資料を参照 し て く だ さ い。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
47
第 3 章 : I/O ピ ン配置
DRC エ ラ ーの表示
DRC で違反が検出 さ れた場合、 図 3-31 に示す [DRC] ビ ュ ーが表示 さ れます。 [DRC] ビ ュ ーには、 検出 さ れたルール
違反が [Report DRC] ダ イ ア ロ グ ボ ッ ク ス で定義 さ れた カ テ ゴ リ 別に表示 さ れ ます。 ルール違反はその重要度に よ っ
て も 分類 さ れてお り 、 次の よ う に色分け表示 さ れます。
•
ア ド バ イ ザ リ : デザ イ ン プ ロ セ ス に関す る 一般的な ス テー タ スお よ びフ ィ ー ド バ ッ ク を示 し ます。
•
警告 : 制約ま たは仕様が意図どお り に適用 さ れていないために、 最適なデザ イ ン結果にな ら ない可能性を示 し ま
す。
•
ク リ テ ィ カル警告 : ユーザー入力ま たは制約に適用 さ れない も のがあ っ た り 、 適切な方法に従っ ていない も のが
あ る こ と を示 し ます。 こ れ ら の問題を確認 し 、 変更す る こ と をお勧め し ます。
注記 : ク リ テ ィ カル警告は、 ビ ッ ト ス ト リ ーム生成時にはエ ラ ーにな り ます。
•
エ ラ ー : デザ イ ン結果が使用で き ない状態にな り 、 ユーザーが対処 し ない と 回避 さ れない問題を示 し ます。 デザ
イ ン フ ロ ーは停止 し ます。
ヒ ン ト : 警告お よ び情報 メ ッ セージ を 非表示に し 、 エ ラ ーのみ を 表示す る には、 ツ ールバーの [Hide Warnings and
Informational Messages] ボ タ ン
を ク リ ッ ク し ます。
X-Ref Target - Figure 3-31
図 3‐31 : DRC 違反
DRC 違反の並べ替え
DRC 違反を重要度で並べ替え る には、 次の よ う に [Severity] 列の見出 し を ク リ ッ ク し ます。
•
列ヘ ッ ダーを ク リ ッ ク す る と 重要度の低い方か ら 並べ ら れます。
•
列ヘ ッ ダーを も う 一度 ク リ ッ ク す る と 、 逆の順にデー タ が並べ替え ら れます。
注記 : 詳細は、 『Vivado Design Suite ユーザー ガ イ ド : Vivado IDE の使用』 (UG893) [参照 15] を参照 し て く だ さ い。
DRC 違反プ ロパテ ィ の表示
[DRC] ビ ュ ーで違反 メ ッ セージ を右 ク リ ッ ク し 、 [Violations Properties] を ク リ ッ ク す る と 、 DRC 違反のプ ロ パテ ィ が
表示 さ れます。 [Violation Properties] ビ ュ ーで次の タ ブ を ク リ ッ ク し ます。
•
[General] : DRC ルール違反の タ イ プ、 重要度、 説明な ど、 全体的な情報を示 し ます。
•
[Details] ビ ュ ー : ルールに違反す る デザ イ ン エ レ メ ン ト に関す る 情報を示 し ます。 DRC に違反す る デザ イ ン オ
ブジ ェ ク ト への リ ン ク が含まれ る 場合 も あ り ます。リ ン ク を ク リ ッ ク す る と 、そのデザ イ ン オブジ ェ ク ト が [RTL
Netlist] ビ ュ ー、 [Device] ビ ュ ー、 [Schematic] ビ ュ ー、 お よ び ソ ース RTL フ ァ イ ルで表示 さ れます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
48
第 3 章 : I/O ピ ン配置
カ ス タ ム DRC の作成
カ ス タ ム DRC を作成す る には、create_drc_check、create_drc_ruledeck、お よ び create_drc_violation
な ど の Tcl コ マ ン ド を使用 し ます。詳細は、『Vivado Design Suite Tcl コ マ ン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835) [参照 16]
お よ び 『Vivado Design Suite ユーザー ガ イ ド : Tcl ス ク リ プ ト 機能の使用』 (UG894) [参照 24] を参照 し て く だ さ い。
DRC の確認
現在定義 さ れてい る DRC の リ ス ト を取得す る には、Tcl コ マ ン ド の get_drc_checks を使用 し ます。詳細は、『Vivado
Design Suite Tcl コ マ ン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835) [参照 16] お よ び 『Vivado Design Suite ユーザー ガ イ ド : Tcl
ス ク リ プ ト 機能の使用』 (UG894) [参照 24] を参照 し て く だ さ い。
RTL デザイ ンへの変換
I/O ポー ト を定義 し てパ ッ ケージ ピ ン に配置 し ら 、 I/O ピ ン配置プ ロ ジ ェ ク ト を RTL プ ロ ジ ェ ク ト に変換で き ます。
ポー ト 定義は、 RTL デザ イ ンの最上位モジ ュ ールを指定に応 じ て Verilog ま たは VHDL のいずれかで作成す る ために
使用 さ れます。 差動ペア バ ッ フ ァ ーは最上位モジ ュ ールに追加 さ れ ます。 バ ス定義 も RTL に含まれます。 プ ロ ジ ェ
ク ト プ ロ パテ ィ は、 RTL プ ロ ジ ェ ク ト タ イ プに適合する よ う 変更 さ れます。
重要 : RTL プ ロ ジ ェ ク ト に変換 し た後、 I/O ピ ン配置プ ロ ジ ェ ク ト に戻す こ と はで き ません。
プ ロ ジ ェ ク ト 変換す る には、 次の手順に従い ます。
1.
[File] → [Migrate to RTL] を ク リ ッ ク し ます。
注記 : ま たは、 Flow Navigator で [Migrate to RTL] を ク リ ッ ク し ます。
2.
[Migrate to RTL] ダ イ ア ロ グ ボ ッ ク ス (図 3-32) で次のオプシ ョ ン を指定 し 、 [OK] を ク リ ッ ク し ます。
°
[Top RTL file] :デザ イ ンの最上位モジ ュ ールを作成す る ための Verilog (.v) ま たは VHDL (.vhd) フ ァ イ ルを指
定 し ます。 こ の HDL フ ァ イ ルには、 モジ ュ ール定義、 ポー ト 定義、 方向、 バ ス ピ ンの幅が含まれます。
°
[Netlist format] :最上位モジ ュ ールの フ ォーマ ッ ト を [Verilog] ま たは [VHDL] か ら 選択 し ます。
°
[Write diff buffers] :最上位モジ ュ ール定義の一部 と し て差動ペア バ ッ フ ァ ーを記述 し ます。 こ れに よ り 、 I/O
ピ ン配置プ ロ ジ ェ ク ト で定義 し た差動ペアがすべて保持 さ れます。
X-Ref Target - Figure 3-32
図 3‐32 : [Migrate to RTL] ダ イ ア ログ ボ ッ ク ス
I/O ピ ン配置プ ロ ジ ェ ク ト を RTL プ ロ ジ ェ ク ト に変換 し た ら 、 プ ロ ジ ェ ク ト に ソ ース を追加 し 、 デザ イ ン で作業を開
始で き ます。 詳細は、 『Vivado Design Suite ユーザー ガ イ ド : シ ス テ ム レベル デザ イ ン入力』 (UG895) [参照 2] を参照
し て く だ さ い。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
49
第 3 章 : I/O ピ ン配置
SSN 解析
Vivado IDE には、 異な る デバ イ ス の I/O に関連す る ス イ ッ チ ノ イ ズ レベルを解析す る 機能があ り ます。 SSN 解析で
は、 同時に切 り 替わ る 出力が I/O バン ク 内のほかの出力ポー ト に与え る 影響を予測 し ます。 I/O バン ク 特定の電気特
性 も 考慮 さ れ、 SSN でのパ ッ ケージの影響が正確に予測 さ れます。
I/O は I/O バン ク にグループ化 さ れ、各バン ク に固有の電源分配ネ ッ ト ワ ー ク があ り 、それぞれス イ ッ チン グ ア ク テ ィ
ビ テ ィ に対す る 応答は異な り ます。パ ッ ケージに実装 さ れた FPGA 内の電源分配ネ ッ ト ワー ク の ノ イ ズに対す る 応答
はそれぞれ異な る ため、 デザ イ ン で使用 さ れ る I/O 規格や I/O 数だけでな く 、 デバ イ ス の電源シ ス テ ムの ス イ ッ チン
グ ア ク テ ィ ビ テ ィ に対す る 応答 も 理解する こ と が重要です。
ザ イ リ ン ク ス では、 すべてのバン ク を 3 次元抽出お よ びシ ミ ュ レーシ ョ ン を使用 し て特性化 し てい ます。 こ の情報が
SSN 解析で使用 さ れます。SSN 解析では、デバ イ ス の ス イ ッ チン グ プ ロ フ ァ イ ルを使用 し て、 ス イ ッ チン グ ア ク テ ィ
ビ テ ィ に よ る シ ス テ ムの電源ネ ッ ト ワー ク への影響 と I/O バン ク 内のほかの出力への影響が予測 さ れます。
重要 : 出力ス イ ッ チが イ ン タ ーフ ェ イ ス ノ イ ズ マージ ン に ど の よ う に影響す る か を予測す る には、 SSN 解析が最 も
正確です。 その計算 と 結果は、 さ ま ざ ま なパ タ ーン を網羅 し てい ます。 こ れ ら の予測値は、 デザ イ ン で発生す る 可能
性のあ る ノ イ ズに関連 し た問題を特定す る ための も ので、 最終デザ イ ン を確定す る ための検証方法 と し ては使用 し な
いで く だ さ い。
SSN 解析の実行
SSN 解析を実行す る には、 次の手順に従い ます。
1.
[Tool] → [Report Noise] を ク リ ッ ク し ます。
注記 : ま たは、 Flow Navigator で [Report Noise] を ク リ ッ ク し ます。
2.
[Run SSN Analysis] ダ イ ア ロ グ ボ ッ ク ス (図 3-33) で次のオプシ ョ ン を指定 し 、 [OK] を ク リ ッ ク し ます。
°
[Results Name] :[Noise] ビ ュ ーに表示す る 解析結果の名前を入力 し ます。
°
[Export to File] :解析を レ ポー ト フ ァ イ ルにエ ク ス ポー ト し ます。 出力フ ァ イ ル名を入力す る か、 参照ボ タ ン
を ク リ ッ ク し て選択 し ます。 出力フ ァ イ ルの フ ォーマ ッ ト を [CSV] ま たは [HTML] に指定 し ます。
°
[Phase] :デザ イ ンの ク ロ ッ ク 情報が考慮 さ れ、 よ り 正確な SSN ノ イ ズが レ ポー ト さ れます。 ク ロ ッ ク は、
create_clock お よ び create_generated_clock コ マ ン ド を使用 し て定義 さ れてい る 必要があ り ます。
生成 ク ロ ッ ク の周期、 位相シ フ ト 、 デ ュ ーテ ィ サ イ ク ルは、 SSN 解析に大 き く 影響 し ます。 詳細は、 「SSN
解析への位相情報の追加」 を参照 し て く だ さ い。
X-Ref Target - Figure 3-33
図 3‐33 : [Run SSN Analysis] ダ イ ア ログ ボ ッ ク ス
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
50
第 3 章 : I/O ピ ン配置
SSN 解析結果の表示
SSN 解析が完了す る と 、 [Noise] ビ ュ ー (図 3-34) が表示 さ れます。
X-Ref Target - Figure 3-34
図 3‐34 : [Noise] ビ ュ ー
左側のペ イ ンで各ア イ テ ム を ク リ ッ ク し 、 SSN 解析に関する 情報を確認 し ます。 た と えば [I/O Bank Details] を ク リ ッ
ク す る と 、 次の情報が表示 さ れます。
•
[Name] : デバ イ ス で使用可能な I/O バン ク を示 し ます。 各 I/O バン ク にはピ ン ア イ コ ンが表示 さ れ、 バン ク が ど
れ く ら い使用 さ れてい る かが示 さ れます。 チ ェ ッ ク マー ク は問題がない こ と を示 し 、 赤い丸は問題があ る こ と を
示 し ます。
•
[Port] : FPGA デザ イ ンのユーザー I/O 名を表示 し ます。
•
[I/O Std]、 [VCCO]、 [Slew]、 [Drive Strength] :ポー ト ま たはバン ク の I/O 規格、 Vcco、 スルー レー ト 、 お よ び駆動電
流を示 し ます。
•
[Off-Chip Termination] :各 I/O 規格にデフ ォ ル ト 終端があ る 場合は、 それを示 し ます。 [None] ま たはオ フチ ッ プ終
端ス タ イ ルが表示 さ れます。 た と えば [FP_VTT_50] は、 VTT への遠端並列 50 終端を示 し ます。 終端ス タ イ
ル の す べ て の リ ス ト は、 『7 シ リ ー ズ FPGA SelectIO リ ソ ー ス ユ ー ザ ー ガ イ ド 』 (UG471) [ 参照 8] お よ び
『UltraScale アー ク テ ク チ ャ SelectIO リ ソ ー ス : Advance 仕様ユーザー ガ イ ド 』 (UG571) [参照 9] か ら 入手で き ま
す。
LVTTL (2mA、 4mA、 6mA お よ び 8mA) では終端はな し と な り 、 LVTTL (12mA お よ び 16mA) では VTT への 50
オーム の遠端並列終端 と な り ま す。 こ の終端が使用 さ れ る と 、 駆動電流が 12mA 以上の信号の ノ イ ズ マージ ン
が、2mA ~ 8mA よ り も 小 さ く な り ます。こ の前提は、7 シ リ ーズ、Zynq お よ び UltraScale デバ イ ス で使用 さ れます。
設定を変更す る には、 次のいずれかの方法を使用 し ます。
•
°
「CSV フ ァ イ ルの イ ン ポー ト 」 に示す CSV フ ァ イ ルの イ ン ポー ト 機能を使用 し ます。
°
[I/O Ports] ビ ュ ーで、 ド ロ ッ プダ ウ ン リ ス ト か ら 選択 し ます。
[Remaining Margin %] :バン ク の SSN をすべて考慮 し た後の ノ イ ズ マージ ン値の残 り を示 し ます。
注記 : I/O バン ク ま たはグループに関す る 情報が表示 さ れます。
重要 : SSN 結果には SSN 解析が実行 さ れた と き のデザ イ ンの状態が反映 さ れてお り 、ダ イ ナ ミ ッ ク な レ ポー ト ではあ
り ません。
SSN 結果の I/O バン ク プ ロパテ ィ の表示
[Noise] ビ ュ ーで I/O バン ク を選択す る と 、 そのバン ク に割 り 当て ら れてい る I/O ポー ト 、 ピ ン、 お よ びグループの情
報が [I/O Bank Properties] ビ ュ ーに表示 さ れます。 [I/O Bank Properties] ビ ュ ーには、 次の情報が表示 さ れます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
51
第 3 章 : I/O ピ ン配置
•
[General] タ ブ : I/O バン ク に割 り 当て ら れてい る ポー ト の数 と タ イ プ
•
[Package Pins] ま たは [I/O Ports] タ ブ : バン ク 内の ピ ン ま たはポー ト の詳細情報 (図 3-35)
X-Ref Target - Figure 3-35
図 3‐35 : [I/O Bank Properties] ビ ュ ーの [Package Pins] タ ブ
SSN 結果の改善
違反が発生 し た場合に SSN 結果を改善する には、 次を実行 し ます。
•
違反が発生 し てい る グループに SSN の影響を あ ま り 受けない I/O 規格を使用 し ます。 低い駆動電流に変更、 並列
終端の DCI I/O 規格を変更、ま たは低い ク ラ ス の ド ラ イ バーに変更 (SSTL Class II か ら SSTL Class I に変更す る な
ど) し ます。
•
違反が発生 し てい る ピ ン を複数のバン ク に分散 さ せます。 こ の よ う にす る と 、 1 つのバン ク の電源シ ス テ ム で問
題のあ る 出力数を低減で き ます。
•
違反が発生 し てい る グループ を複数の同期位相に分散 さ せます。
•
位相情報を追加 し ます。
SSN 解析への位相情報の追加
位相情報を追加す る と 、 SSN 解析の精度を高め る こ と がで き ます。 デフ ォ ル ト では、 SSN 解析ですべての出力ポー ト
が同時に ト グルす る と 仮定 さ れ ま す。 こ の仮定に よ り 、 不必要に悪い見積 も り 部分を含む SSN 解析レ ポー ト が生成
さ れ る こ と にな る 可能性が高い ワ ース ト ケース シナ リ オが含ま れ ます。 デザ イ ンの ク ロ ッ ク 情報が使用可能であれ
ば、 SSN 解析レ ポー ト の SSN ノ イ ズが よ り 正確にな り ます。
こ の機能を使用す る には、 次の Tcl コ マ ン ド を使用 し て SSN 位相解析を イ ネーブルにす る 必要があ り ます。
report_ssn -phase
create_clock お よ び create_generated_clock を使用 し て ク ロ ッ ク 情報を入力 し ます。 こ れ ら の コ マ ン ド に
よ り 、 SSN 解析に次の必要な入力が提供 さ れます。
•
位相グループ
注記 : 1 つの MMCM ま たは PLL に属する 生成 さ れた ク ロ ッ ク がグループにな り ます。
•
周期
•
デ ュ ーテ ィ サ イ ク ル
•
位相シ フ ト
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
52
第 3 章 : I/O ピ ン配置
注記 : 0 度か ら の絶対位相シ フ ト が含まれます。
詳細は、 『Vivado Design Suite Tcl コ マ ン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835) [参照 16] お よ び 『Vivado Design Suite ユー
ザー ガ イ ド : Tcl ス ク リ プ ト 機能の使用』 (UG894) [参照 24] を参照 し て く だ さ い。
ヒ ン ト : SSN 位相解析をオンにす る と 、 SSN 解析レ ポー ト に [Phase] 列が表示 さ れ る よ う にな り ます。
次の点について注意 し て く だ さ い。
•
マ ス タ ー ク ロ ッ ク が複数あ る と 、SSN 結果は改善 し ません。SSN 結果を減 ら すため、 こ れ ら は各マ ス タ ー ク ロ ッ
ク 内の複数の位相にす る べ き です。
•
1 つにの位相グループ内にシ ン グル ポー ト があ る と 、 SSN 結果は改善 し ません。 各 ク ロ ッ ク グループ ま たは位
相グループご と に、 少な く と も ポー ト は 2 つ必要です。
•
SSN ノ イ ズ を最小限にす る には、 1 つの ク ロ ッ ク の ク ロ ッ ク 遷移を別の ク ロ ッ ク か ら 700 ピ コ 秒 (ps) シ フ ト し ま
す。
•
該当の位相グループ内の位相シ フ ト は 200ps よ り 大 き く し ない と 、 SSN 結果を改善で き ません。
ま た、 次の点について も 注意 し て く だ さ い。
•
高集積デザ イ ンの場合、 位相を含む SSN 解析に数十分かか る こ と があ り ます。
•
180 度のシ フ ト では SSN 結果は改善 さ れません。 ク ロ ッ ク 情報に立ち上が り お よ び立ち下が り 遷移情報は含まれ
てい ますが、 SSN 解析ではそのポー ト の実際の出力 ロ ジ ッ ク は含まれません。 ク ロ ッ ク が Low か ら High に遷移
す る 場合、 ポー ト 出力はいずれかの方向にな り ます。 保守的な SSN レ ポー ト を生成す る ために、 アルゴ リ ズ ム
では 180 度が位相シ フ ト 0 と 同 じ と 認識 さ れます。 出力ポー ト に関する 情報がないので、 解析では 180 度シ フ ト
のポー ト の SSN ノ イ ズが多 く 見積 も ら れすぎ ます。 実際には、 SSN は 180 度シ フ ト で削減 さ れてい ますが、 ア
ルゴ リ ズ ムではその削減が認識 さ れません。
•
50% のデ ュ ーテ ィ サ イ ク ルのみがサポー ト さ れ、 準拠 し ていない ク ロ ッ ク は非同期信号 と 認識 さ れます。
SSN 解析への温度情報の追加
SSN 解析の精度は、 タ ーゲ ッ ト パーツ に対す る 温度グ レー ド を指定す る と 上が り ま す。 温度グ レー ド 情報を追加す
る には、 次の Tcl コ マ ン ド のいずれかを使用 し てか ら 、 SSN 解析を実行 し ます。
set_operating_conditions -grade Commercial
set_operating_conditions -grade Industrial
set_operating_conditions -grade Military
set_operating_conditions -grade Q-Grade
set_operating_conditions -grade Extended
ヒ ン ト : 動作条件を検証す る には、 Tcl コ マ ン ド の report_operating_conditions -grade を使用 し ます。 温度
グ レー ド をデフ ォ ル ト に リ セ ッ ト す る には、 Tcl コ マ ン ド の reset_operating_conditions -grade を使用 し ま
す。
ま た、 次の点について も 注意 し て く だ さ い。
•
デフ ォ ル ト では、 温度グ レー ド はプ ロ ジ ェ ク ト で使用 さ れ る デバ イ ス に基づ き ます。
•
エ ラ ボ レー ト 済みデザ イ ンで SSN 解析を実行する 際は、 タ ーゲ ッ ト パーツのデフ ォ ル ト 温度グ レー ド を変更で
き ません。
•
動作条件は、消費電力解析に も 使用 さ れます。消費電力解析に影響す る 動作条件については、『Vivado Design Suite
ユーザー ガ イ ド : 消費電力の解析 と 最適化』 (UG907) [参照 25] を参照 し て く だ さ い。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
53
第 3 章 : I/O ピ ン配置
重要 : SSN 解析の精度を上げ る には、 正 し い温度グ レー ド を使用する 必要があ り ます。
I/O ピ ン と パ ッ ケージ デー タ のエ ク スポー ト
I/O ピ ンお よ びパ ッ ケージ ピ ンの情報を、 次に目的でエ ク ス ポー ト で き ます。
•
I/O ピ ン情報 : I/O ポー ト リ ス ト を フ ァ イ ルにエ ク ス ポー ト し 、 RTL の コ ー ド 記述や PCB 回路図シ ン ボルの作成
に使用で き ます。
•
パ ッ ケージ ピ ン情報 : エ ラ ボ レー ト 済みデザ イ ン、 合成済みデザ イ ン、 ま たは イ ンプ リ メ ン ト 済みデザ イ ン で作
業 し てい る 場合、 デバ イ ス パ ッ ケージ ピ ンの情報を CSV フ ァ イ ルにエ ク ス ポー ト で き ます。 エ ク ス ポー ト さ れ
た リ ス ト のパ ッ ケージ ピ ン セ ク シ ョ ン を基に、 I/O ポー ト を ス プ レ ッ ド シー ト で定義で き ます。 エ ク ス ポー ト さ
れ る 情報には、 デバ イ ス に含ま れ る すべてのパ ッ ケージ ピ ン、 デザ イ ン特定の I/O ポー ト 割 り 当て と その コ ン
フ ィ ギ ュ レ ーシ ョ ン が含 ま れ ま す。 追加 さ れた列お よ びユーザー定義の値が保持 さ れ、 出力フ ァ イ ルにエ ク ス
ポー ト さ れます。 エ ク ス ポー ト さ れた CSV フ ァ イ ルの詳細は、 「I/O ポー ト の定義 と 設定」 を参照 し て く だ さ い。
I/O ポー ト リ ス ト 情報をエ ク ス ポー ト す る には、 次の手順に従い ます。
1.
[File] → [Export] → [Export I/O Ports] を ク リ ッ ク し ます。
2.
[Export I/O Ports] ダ イ ア ロ グ ボ ッ ク ス (図 3-36) で、 生成する I/O ポー ト の タ イ プ と パ ス を指定 し 、 [OK] を ク リ ッ
ク し ます。
X-Ref Target - Figure 3-36
図 3‐36 : [Export I/O Ports] ダ イ ア ログ ボ ッ ク ス
IBIS モデル
IBIS (Input/Output Buffer Information Specification) は、 デバ イ ス モデ リ ン グ規格で、 デバ イ ス イ ン タ ー コ ネ ク ト の信号
ビヘ イ ビ アーを記述 し た ビヘ イ ビ アー モデルの開発に使用で き ます。 こ れ ら のモデルは、 SPICE (Simulation Program
with Integrated Circuit Emphasis) シ ミ ュ レーシ ョ ンで生成 さ れ る よ う な構造型モデル と は異な り 、 所有権付 き の回路図
情報を保持 し ます。 IBIS バ ッ フ ァ ー モデルは、 測定ま たは回路シ ミ ュ レーシ ョ ンのいずれかに よ っ て生成 さ れた V/I
曲線デー タ に基づいてい ます。
IBIS モデルは、 各 IOB 規格別に構築 さ れます。 IBIS フ ァ イ ルはデバ イ ス に含ま れ る すべての I/O 規格の IBIS モデル
の コ レ ク シ ョ ンです。 IBIS フ ァ イ ルには、 デバ イ ス で使用済みの ピ ンの リ ス ト も 含まれます。 こ れ ら の ピ ンは、 特定
の I/O 規格のサポー ト 用に コ ン フ ィ ギ ュ レーシ ョ ン さ れた IOB にボ ンデ ィ ン グ さ れてお り 、 ピ ン と 特定の IBIS バ ッ
フ ァ ー モデルが関連付け ら れてい ます。
IBIS 規格では出力情報フ ァ イ ルの形式が指定 さ れ ます。 こ れには、 フ ァ イ ル ヘ ッ ダー セ ク シ ョ ン と コ ン ポーネ ン ト
詳細セ ク シ ョ ンが含ま れます。 結果の IBIS モデル フ ァ イ ルを検証す る ため、 IBIS オープン フ ォー ラ ム グループ [参
照 26]に よ り Golden Parser が開発 さ れてい ます。 こ れに よ り 、 構文が IBIS デー タ 形式に準拠 し てい る か ど う か を検証
で き ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
54
第 3 章 : I/O ピ ン配置
Vivado IDE で IBIS モデルを エ ク ス ポー ト す る と 、 .ibs フ ァ イ ルが出力 さ れます。 こ の フ ァ イ ルには、 デザ イ ン で使用
さ れ る ピ ンの リ ス ト 、 それ ら の ピ ンに接続 さ れ る デバ イ ス内部の信号、 ピ ンに接続 さ れた IOB の IBIS バ ッ フ ァ ー モ
デルな ど が含まれます。
IBIS モデルのエ ク スポー ト
PCB 設計では、 シ ス テ ム レベルのシ グナル イ ン テ グ リ テ ィ の理解を深め る ため、 IBIS モデルを使用 し たデザ イ ンの
シ ミ ュ レーシ ョ ンが必要な場合が よ く あ り ます。 ク ロ ス ト ー ク 、 グ ラ ン ド バ ウ ン ス、 SSN な ど のシ グナル イ ン テ グ
リ テ ィ の問題について考慮す る 必要があ り ます。 IBIS モデルを使用する と 、 パ ッ ケージ デバ イ ス の I/V カーブお よ び
寄生情報な ど の特性化に役立ち ます。
ヒ ン ト : 汎用の IBIS モデルを ザ イ リ ン ク ス ウ ェ ブサ イ ト のダ ウ ン ロ ー ド ページ [参照 27] か ら ダ ウ ン ロ ー ド で き ま
す。
Vivado IDE か ら は、 デザ イ ンお よ びピ ン ご と のパ ッ ケージ デー タ か ら IBIS モデルを生成で き ま す。 Vivado IDE は、
デザ イ ンのネ ッ ト リ ス ト お よ び イ ンプ リ メ ン テーシ ョ ンの詳細を使用 し 、 その情報 と ピ ン ご と の寄生パ ッ ケージ情報
を統合 し て、 そのデザ イ ン専用のカ ス タ ム IBIS モデルを作成 し ます。
デザ イ ン解析に使用す る IBIS フ ァ イ ルを エ ク ス ポー ト す る には、 エ ラ ボ レー ト 済みデザ イ ン、 合成済みデザ イ ン、 ま
たは イ ンプ リ メ ン ト 済みデザ イ ンが開いてい る 状態で次を実行 し ます。
1.
[File] → [Export] → [Export IBIS Model] を ク リ ッ ク し ます。
2.
[Export IBIS Model] ダ イ ア ロ グ ボ ッ ク ス (図 3-37) で次のオプシ ョ ン を指定 し 、 [OK] を ク リ ッ ク し ます。
°
[Output File] :出力 さ れ る IBIS フ ァ イ ルのフ ァ イ ル名 と パ ス を指定 し ます。
°
[Include all models] :デバ イ ス で使用可能な I/O バ ッ フ ァ ー モデルをすべて含みます。 デフ ォ ル ト では、 デザ
イ ンで使用 さ れ る バ ッ フ ァ ー モデルのみが含まれます。
°
°
°
[Disable per pin modeling] :パ ッ ケージの ピ ン ご と のモデル記述を含めない よ う に し ます。 こ れは、 デバ イ ス
のダ イ パ ッ ド か ら パ ッ ケージ ピ ン ま でのパ ス です。 オ フ にす る と 、 パ ッ ケージは、 すべての ピ ンに適用 さ
れ る 1 つの RLC 伝送 ラ イ ン モデルに削減 さ れ、 IBIS フ ァ イ ルの [Package] セ ク シ ョ ン で定義 さ れます。
[Maximum length of signal names] :信号名の長 さ を指定 し た文字数に制限 し 、 それ よ り 長い場合は切 り 捨て ま
す。
-
[40] :信号名の長 さ が IBIS バージ ョ ン 4.2 でサポー ト さ れ る 40 文字ま でに制限 さ れます (デフ ォ ル ト )。
-
[20] :信号名の長 さ が 40 文字ま でに制限 さ れます。
-
[Unlimited] :信号名の長 さ に制限はあ り ません。
[Updated generic IBIS model file] :デバ イ ス用の IBIS モデル フ ァ イ ルを指定 し ます (オプシ ョ ン)。 こ の フ ァ イ
ルが、 イ ン ス ト ール デ ィ レ ク ト リ の parts デ ィ レ ク ト リ に含まれ る IBIS モデルの代わ り に使用 さ れます。
重要 : イ ン ス ト ールに IBIS モデルが含まれないデバ イ ス を使用する 場合は、 こ こ で IBIS モデル フ ァ イ ルを指定す る
必要があ り ます。
°
[Updated parasitic package data file] :ピ ン ご と の抽出に使用す る 寄生パ ッ ケージ フ ァ イ ル (.pkg) を指定 し ます (
オプシ ョ ン)。 こ の フ ァ イ ルが、 イ ン ス ト ール デ ィ レ ク ト リ の parts デ ィ レ ク ト リ に含まれ る 寄生パ ッ ケージ
フ ァ イ ルの代わ り に使用 さ れます。
重要 : イ ン ス ト ールに IBIS モデルが含ま れないデバ イ ス を使用す る 場合は、 こ こ で寄生パ ッ ケージ フ ァ イ ルを指定
す る 必要があ り ます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
55
第 3 章 : I/O ピ ン配置
X-Ref Target - Figure 3-37
図 3‐37 : [Export IBIS Model] ダ イ ア ログ ボ ッ ク ス
PCB デザイ ン と のイ ン タ ー フ ェ イ ス
Vivado ピ ン配置ツールを使用す る と 、 効率的に ピ ン割 り 当て を選択で き ます。 正 し い リ ソ ース を選択する こ と で、 デ
ザ イ ン プ ロ セ ス が よ り 高速で ク リ ーンにな り ます。次の推奨事項に従 う と 、ボー ド レ イ ア ウ ト 、ピ ン割 り 当て、FPGA
リ ソ ース の競合を回避で き る 可能性があ り ます。
ボー ド フ ロ ーの詳細については、 『Vivado Design Suite ユーザー ガ イ ド : シ ス テ ム レベル デザ イ ン入力』 (UG895) [参
照 2] お よ び を参照 し て く だ さ い。 PCB お よ びピ ン配置の詳細は、 『7 シ リ ーズ FPGA PCB デザ イ ンお よ びピ ン配置
ガ イ ド 』 (UG483) [参照 28]、『Zynq-7000 All Programmable SoC PCB デザ イ ンお よ びピ ン配置ガ イ ド 』 (UG933) [参照 29]、
お よ び 『UltraScale アーキ テ ク チ ャ PCB デザ イ ンお よ びピ ン配置ユーザー ガ イ ド 』 (UG583) [参照 30] を参照 し て く だ
さ い。
デバイ スの互換性
デザ イ ン のデバ イ ス の互換性については、 「互換性のあ る 代替デバ イ ス の指定」 を参照 し て く だ さ い。 選択 さ れたす
べての代替デバ イ ス間で共通の ピ ンが特定 さ れ、すべてのデバ イ ス に共通でない ピ ンに対 し ては PROHIBIT 制約が設
定 さ れ る ので、 こ れ ら のピ ンが使用 さ れ る 可能性はあ り ません。 詳細については、 タ ーゲ ッ ト デバ イ ス の製品表を参
照 し て く だ さ い。
DRC
I/O 割 り 当ての全体的な イ ン テ グ リ テ ィ をチ ェ ッ ク す る には、 「DRC の実行」 で説明す る よ う に DRC を実行 し ます。
ボー ド 配置前に DRC で レ ポー ト さ れ る すべての警告お よ びエ ラ ーを修正 し ておいて く だ さ い。
SSN 解析
潜在的な ノ イ ズ崩壊の概算を出すには、 「SSN 解析」 で説明す る よ う に SSN 解析を実行 し ます。 ノ イ ズ関連の問題は、
ボー ド 配置前に解決 し てお く 必要があ り ます。詳細は、『7 シ リ ーズ FPGA SelectIO リ ソ ース ユーザー ガ イ ド 』 (UG471)
[参照 8]、 『UltraScale アー ク テ ク チ ャ SelectIO リ ソ ース : Advance 仕様ユーザー ガ イ ド 』 (UG571) [参照 9]、 『7 シ リ ー
ズ FPGA メ モ リ イ ン タ ーフ ェ イ ス ソ リ ュ ーシ ョ ン ユーザー ガ イ ド 』 (UG586) [参照 31]、 お よ び 『UltraScale アーキ
テ ク チ ャ メ モ リ リ ソ ース ユーザー ガ イ ド 』 (UG573) [参照 32] を参照 し て く だ さ い。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
56
第 3 章 : I/O ピ ン配置
IBIS シ ミ ュ レーシ ョ ン
IBIS シ ミ ュ レーシ ョ ン を実行す る には、「IBIS モデル」 で説明す る よ う に Vivado IDE で生成 さ れた IBIS フ ァ イ ルを使
用 し ます。
CSV のエ ク スポー ト
DRC お よ び SSN 解析を実行 し た ら 、 「I/O ピ ン と パ ッ ケージ デー タ のエ ク ス ポー ト 」 で説明す る よ う に CSV フ ァ イ
ルを エ ク ス ポー ト し てボー ド 配置を し ます。
サポー ト さ れるサー ド パーテ ィ の PCB ツール
ザ イ リ ン ク ス では、 Cadence 社の Allegro FPGA System Planner お よ び Mentor Graphics 社の I/O Designer がサポー ト さ
れます。 こ れ ら のツールでは、 ボー ド 全体の コ ン テ キ ス ト で ピ ン割 り 当て を最適化で き ます。 詳細は、 該当す る サー
ド パーテ ィ ツールの資料を参照 し て く だ さ い。
SSI テ ク ノ ロ ジ デバイ ス を使用 し た I/O ピ ン配置およ
び ク ロ ッ ク配置
ス タ ッ ク ド シ リ コ ン イ ン タ ー コ ネ ク ト (SSI) テ ク ノ ロ ジ を使用す る 場合、 I/O ピ ン配置 と ク ロ ッ ク 配置が重要にな り
ます。 SSI テ ク ノ ロ ジ デバ イ ス は高集積のダ イ に含まれ る ので、 配置に問題があ る と 、 配線時間が長 く な り 、 消費電
力が増加 し 、 パフ ォーマ ン ス が落ち る 可能性があ り ます。 ピ ン配置の選択お よ び ク ロ ッ ク に関する 情報は、 『UltraFast
設計手法ガ イ ド (Vivado Design Suite 用) (UG949) [参照 1] を参照 し て く だ さ い。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
57
付録 A
CSV フ ァ イル形式の I/O ポー ト リ ス ト
CSV フ ァ イル
CSV フ ァ イ ルは、 FPGA やボー ド 設計で、 デバ イ ス ピ ンお よ びピ ン配置のデー タ を交換す る ために使用 さ れ る 標準
フ ァ イ ル形式です。 詳細は、 「CSV フ ァ イ ルの イ ン ポー ト 」 お よ び第 3 章の 「I/O ピ ン と パ ッ ケージ デー タ のエ ク ス
ポー ト 」 を参照 し て く だ さ い。
CSV には、 次の列が含まれます。
•
[I/O Bank] : ピ ンが配置 さ れ る I/O バン ク 。 デバ イ ス のすべての ピ ンに対 し て、 ツールに よ り デー タ が自動挿入 さ
れます。 値は数値ま たは空白です。 入力 CSV フ ァ イ ルでは必須の フ ィ ール ド ではあ り ません。
•
[Pin Number] : パ ッ ケージ ピ ンの名前ま たは ロ ケーシ ョ ン。デバ イ ス のすべてのピ ンに対 し て、ツールに よ り デー
タ が自動挿入 さ れます。 入力フ ァ イ ルで必須の フ ィ ール ド ではあ り ません。 入力で使用 さ れ る 場合は、 配置を定
義す る ために使用 さ れます。 値はデバ イ ス の有効な ピ ンです。
•
[IOB Alias] : パ ッ ケージ ピ ンの代替デバ イ ス名。 ツールに よ り 指定 さ れ、 入力 CSV フ ァ イ ルで指定 さ れてい る 場
合は使用 さ れません。
•
[Site Type] : デバ イ ス デー タ シー ト か ら の ピ ン名。 ツールに よ り 指定 さ れ、 入力 CSV フ ァ イ ルで指定 さ れてい る
場合は使用 さ れません。
•
[Min Trace Delay (ps)]/[Max Trace Delay (ps)] : デバ イ ス のパ ッ ド サ イ ト と パ ッ ケージのボール間の距離で、 単位は
ピ コ 秒 (ps) です。 ツールに よ り 指定 さ れ、 ボー ド 設計時に ト レース遅延を一致 さ せ る ために使用 し ます。 ま た、
こ れ ら の フ ィ ール ド は出力フ ァ イ ルのみに含まれてお り 、 入力フ ァ イ ルには含まれてい ません。
•
[Trace Length (um)] : パ ッ ケージ ピ ン と ダ イ パ ッ ド 間の内部 ト レース長を指定 し ます。
•
[Prohibit] : ユーザー I/O がサ イ ト に追加 さ れない よ う 指定 し ます。 さ ま ざ ま な理由で特定のサ イ ト を使用禁止に
で き ます。 次に例を示 し ます。
°
ボー ド レ イ ア ウ ト の問題を緩和する ため
°
信号間の ク ロ ス ト ー ク を削減す る ため
°
同 じ パ ッ ケージの複数の FPGA 間で ピ ン配置を有効にす る ため
注記 : XDC フ ァ イ ルでは、 こ れは PROHIBIT プ ロ パテ ィ と し て記述 さ れます。
•
[Interface] : ユーザー I/O のセ ッ ト を ユーザーが指定 し て グループ化 し ます。 た と えば、 メ モ リ イ ン タ ーフ ェ イ ス
のデー タ 、 ア ド レ ス、 お よ び イ ネーブル信号の関係を指定で き ます。 値は文字列ま たは空白です。
•
[Signal Name] : FPGA デザ イ ンのユーザー I/O 名。 値は文字列、 ま たは割 り 当て ら れていないパ ッ ケージ ピ ンの
場合は空白です。
•
[Direction] : 信号の方向。 値は IN、 OUT、 INOUT、 ま たはユーザー I/O がサ イ ト に割 り 当て ら れていない場合は
空白です。
•
[DiffPair Type] : 差動ペアの ピ ンが N 側ま たは P 側の ど ち ら か を指定 し ます。こ れは差動信号に対 し てのみ使用 さ
れます。 ツールでは、 ピ ン名か ら ではな く 、 こ の列の情報を使用 し て ど の ピ ンが N 側で ど の ピ ンが P 側か を判断
し ます。 値は P、 N、 ま たはユーザー I/O がサ イ ト に割 り 当て ら れていない場合は空白です。
•
[DiffPair Signal] : 差動ペアの も う 1 つの ピ ン名を指定 し ます。 値はユーザー I/O 名、 ま たは未使用の場合は空白
です。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
58
付録 A : CSV フ ァ イル形式の I/O ポー ト リ ス ト
•
[I/O Standard] : 特定ユーザー I/O の I/O 規格。 こ の フ ィ ール ド がユーザー I/O に対 し て空白の場合、 該当す る デバ
イ ス のデフ ォ ル ト 値が使用 さ れます。 値は有効な I/O 規格、 ま たは空白です。
•
[Drive] : ユーザー I/O の I/O 規格の駆動電流。 すべての I/O 規格で駆動電流が指定で き る わけではあ り ません。 こ
の フ ィ ール ド が空白の場合、 デフ ォ ル ト 値が使用 さ れます。 値は数値ま たは空白です。
•
[Slew Rate] : ユーザー I/O の I/O 規格の スルー レー ト 。 すべての I/O 規格で ス ルー レー ト が指定で き る わけでは
あ り ません。 こ の フ ィ ール ド が空白の場合、 デフ ォ ル ト 値が使用 さ れます。 値は FAST お よ び SLOW です。
•
[Pull Type] : 選択 さ れてい る ポー ト の抵抗の タ イ プ を指定 し ます。 ト ラ イ ス テー ト 出力バ ッ フ ァ ー (OBUFT) ま た
は双方向バ ッ フ ァ ー (IOBUF) を使用す る 場合、 出力に弱いプルア ッ プ抵抗、 弱いプルダ ウ ン抵抗、 ま たは ウ ィ ー
ク キーパー回路を付け る こ と がで き ます。 入力バ ッ フ ァ ー (IBUF) を使用す る 場合は、 入力に弱いプルア ッ プ抵
抗ま たは弱いプルダ ウ ン抵抗を付け る こ と がで き ます。
•
[Phase] : 同期位相オ フ セ ッ ト の場合に、 バン ク 内のほかの I/O の位相に対す る I/O の位相を指定 し ます。
•
[Board Signal] : ボー ド レベル デザ イ ンか ら I/O に入力 さ れ る 信号の名前を指定 し ます。
•
[Board Voltage] : ボー ド レベル デザ イ ンか ら I/O に入力 さ れ る 信号の電圧レベルを定義 し ます。
•
[BUFIO2_REGION] : ポー ト が関連付け ら れ る BUFIO2 ク ロ ッ ク 領域を定義 し ます。
•
[IN_TERM]/[OUT_TERM] : オプシ ョ ンの IN_TERM ま たは OUT_TERM ド ラ イ バーの イ ン ピーダ ン ス プ ロ パテ ィ
を定義 し ます。 ほ と ん ど の場合、 こ の フ ィ ール ド は空白の ま ま に し ます。 製品デバ イ ス では ま だサポー ト さ れて
い ません。 こ の終端定義を使用す る と 、 SLEW お よ び DRIVE STRENGTH プ ロ パテ ィ が上書 き さ れます。 SSN の
計算ではサポー ト さ れません。
•
[OFFCHIP_TERM] : I/O の外部ボー ド レベルの終端を指定 し ます。 SSN の計算ではサポー ト さ れません。 こ の
フ ィ ール ド を空白の ま ま にす る と 、 SSN の計算に予測 さ れ る 終端が使用 さ れ、 こ の終端がデフ ォ ル ト で SSN レ
ポー ト お よ び [I/O Ports] ビ ュ ーに表示 さ れます。
注記 : 予測 さ れ る 終端 と そのツールでの表示名は、該当する デバ イ ス の 『7 シ リ ーズ FPGA SelectIO リ ソ ース ユー
ザー ガ イ ド 』 (UG471) [参照 8] お よ び 『UltraScale アー ク テ ク チ ャ SelectIO リ ソ ース : Advance 仕様ユーザー ガ イ
ド 』 (UG571) [参照 9] を参照 し て く だ さ い。
重要 : Vivado® ツールでは、 CSV フ ァ イ ルを読み込む際、 定認識 さ れない列はユーザー定義列 と し て保持 さ れ、 [I/O
Ports] ビ ュ ーに表示 さ れます。
CSV フ ァ イルでの差動ペア
CSV フ ァ イ ルで差動ペア を定義す る プ ロ パテ ィ は複数あ り ます。
•
[Signal Name]
•
[DiffPair Signal]
•
[DiffPair Type]
•
[I/O Standard]
CSV フ ァ イ ルのそれ以外の値は、 差動ペア を検証す る ために使用 さ れ、 互換性のあ る も のであ る こ と が確認 さ れます
が、 ペア を定義す る ためには使用 さ れません。 CSV フ ァ イ ルでは、 差動ペア を次の方法で定義 し ます。
•
2 つのポー ト で差動ペア を定義 : 差動ペア を構成する 2 つの信号を直接定義 し ます。 2 つのポー ト エン ト リ で、
[DiffPair Signal] にお互いの [Signal Name] の値を指定 し 、 [DiffPair Type] で一方に N、 も う 一方に P を指定 し ます。
ツールで差動ペア を作成す る 際、 [I/O Standard] な ど のほかのプ ロ パテ ィ に互換性があ る か ど う かがチ ェ ッ ク さ れ
ます。
•
1 つのポー ト のみで差動ペア を リ ン ク : 2 つのポー ト エン ト リ の [DiffPair Type] で一方に N、 も う 一方に P を指定
し てい ますが、 1 つのポー ト でのみ [DiffPair Signal] に も う 一方の [Signal Name] の値を指定 し ます。 こ の場合、 ほ
かのすべてのプ ロ パテ ィ に互換性があれば、 差動ペアが作成 さ れます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
59
付録 A : CSV フ ァ イル形式の I/O ポー ト リ ス ト
•
差動ペアの 1 つのポー ト のみを指定 : 1 つのポー ト エン ト リ で、 [I/O Standard] に差動規格、 [DiffPair Type] に値を
指定 し 、 [DiffPair Signal] には CSV フ ァ イ ルに含ま れない信号を指定 し ます。 こ の場合、 こ のポー ト エ ン ト リ の
プ ロ パテ ィ に一致す る 差動ペアの も う 一方がツールに よ り 作成 さ れます。
•
差動ペア を推論 : 2 つのポー ト エン ト リ で、DIFF_HSTL、DIFF_SSTL な ど の差動 I/O 規格を指定 し 、[Signal Names]
に N 側 と P 側が推論 さ れ る よ う な名前を指定 し ます。 こ の場合、 すべてのプ ロ パテ ィ に互換性があれば、 差動ペ
アが推論 さ れます。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
60
付録 B
その他の リ ソ ースおよび法的通知
ザイ リ ン ク ス リ ソ ース
ア ンサー、 資料、 ダ ウ ン ロ ー ド 、 フ ォー ラ ム な ど のサポー ト リ ソ ース は、 次のザ イ リ ン ク ス サポー ト サ イ ト を参照
し て く だ さ い。
ソ リ ュ ーシ ョ ン セ ン タ ー
デバ イ ス、 ツール、 IP のサポー ト については、 ザ イ リ ン ク ス ソ リ ュ ーシ ョ ン セ ン タ ーを参照 し て く だ さ い。 ト ピ ッ
ク には、 デザ イ ン ア シ ス タ ン ト 、 ア ド バ イ ザ リ 、 ト ラ ブルシ ュ ー ト ヒ ン ト な ど が含まれます。
リ フ ァ レンス
1.
『UltraFast™ 設計手法 (Vivado Design Suite 用)』 (UG949)
2.
『Vivado® Design Suite ユーザー ガ イ ド : シ ス テ ム レベルのデザ イ ン入力』 (UG895)
3.
『Vivado Design Suite ユーザー ガ イ ド : デザ イ ン フ ロ ーの概要』 (UG892)
4.
『Vivado Design Suite ユーザー ガ イ ド : IP イ ン テ グ レー タ ーを使用 し た IP サブシ ス テ ムの設計』 (UG994)
5.
『7 シ リ ーズ FPGA パ ッ ケージお よ びピ ン配置製品仕様』 (UG475)
6.
『Zynq®-7000 All Programmable SoC パ ッ ケージお よ びピ ン配置製品仕様』 (UG865)
7.
『UUltraScale UltraScale™ アーキ テ ク チ ャ のパ ッ ケージお よ びピ ン配置 : Advance 製品仕様ユーザー ガ イ ド 』
(UG575)
8.
『7 シ リ ーズ FPGA SelectIO リ ソ ース ユーザー ガ イ ド 』 (UG471)
9.
『UltraScale アー ク テ ク チ ャ SelectIO リ ソ ース : Advance 仕様ユーザー ガ イ ド 』 (UG571)
10. 『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896)
11. 『Vivado Design Suite ユーザー ガ イ ド : デザ イ ン解析お よ び ク ロ ージ ャ ー テ ク ニ ッ ク 』 (UG906)
12. 『LogiCORE IP Clocking Wizard 製品ガ イ ド (PG065)
13. 『Vivado Design Suite ユーザー ガ イ ド :合成』 (UG901)
14. 『Vivado Design Suite ユーザー ガ イ ド : イ ンプ リ メ ン テーシ ョ ン』 (UG904)
15. 『Vivado Design Suite ユーザー ガ イ ド : Vivado IDE の使用』 (UG893)
16. 『Vivado Design Suite Tcl コ マ ン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835)
17. 『Vivado Design Suite プ ロ パテ ィ リ フ ァ レ ン ス ガ イ ド 』 (UG912)
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
61
付録 B : その他の リ ソ ースおよび法的通知
18. 『Vivado Design Suite ユーザー ガ イ ド :プ ロ グ ラ ムお よ びデバ ッ グ』 (UG908)
19. 『制約ガ イ ド 』 (UG625)
20. 『7 シ リ ーズ コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド 』 (UG470)
21. 『UltraScale アーキ テ ク チ ャ コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド : Advance 仕様』 (UG570)
22. 『7 シ リ ーズ ク ロ ッ ク リ ソ ース ユーザー ガ イ ド 』 (UG472)
23. 『UltraScale アー ク テ ク チ ャ ク ロ ッ キ ン グ リ ソ ース Advance 仕様ユーザー ガ イ ド 』 (UG572)
24. 『Vivado Design Suite ユーザー ガ イ ド : Tcl ス ク リ プ ト 機能の使用』 (UG894)
25. 『Vivado Design Suite ユーザー ガ イ ド : 消費電力の解析 と 最適化』 (UG907)
26. IBIS オープ ン フ ォー ラ ム グループ (www.eda.org/ibis)
27. ザ イ リ ン ク ス ダ ウ ン ロ ー ド
28. 『7 シ リ ーズ FPGA PCB デザ イ ンお よ びピ ン配置ガ イ ド 』 (UG483)
29. 『Zynq-7000 All Programmable SoC PCB デザ イ ンお よ びピ ン配置ガ イ ド 』 (UG933)
30. 『UltraScale アーキ テ ク チ ャ PCB デザ イ ンお よ びピ ン配置ユーザー ガ イ ド 』 (UG583)
31. 『Zynq-7000 SoC お よ び 7 シ リ ーズ FPGA メ モ リ イ ン タ ーフ ェ イ ス ソ リ ュ ーシ ョ ン ユーザー ガ イ ド 』 (UG586)
32. 『UltraScale アー ク テ ク チ ャ メ モ リ リ ソ ース ユーザー ガ イ ド 』 (UG573)
33. Vivado Design Suite ビデオ チ ュ ー ト リ アル I/O 配置の概要
34. Vivado Design Suite ビデオ チ ュ ー ト リ アル
35. Vivado Design Suite の資料
法的通知
The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum extent
permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND
CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY,
NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort,
including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection
with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage
(including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such
damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct any
errors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute,
or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of Xilinx’s limited warranty,
please refer to Xilinx’s Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and support
terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application
requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx’s
Terms of Sale which can be viewed at http://www.xilinx.com/legal.htm#tos.
© Copyright 2012-2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands
included herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective owners.
本資料は英語版 (v2014.1) を翻訳 し た も ので、 内容に相違が生 じ る 場合には原文を優先 し ます。
資料に よ っ ては英語版の更新に対応 し ていない も のがあ り ます。
日本語版は参考用 と し て ご使用の上、 最新情報につ き ま し ては、 必ず最新英語版を ご参照 く だ さ い。
こ の資料に関す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の問題につ き ま し ては、 [email protected] ま でお知 ら せ く だ さ い。
いただ き ま し た ご意見を参考に早急に対応 さ せていただ き ます。 なお、 こ の メ ール ア ド レ スへのお問い合わせは受け付け てお り ま
せん。 あ ら か じ めご了承 く だ さ い。
I/O および ク ロ ッ ク 配置
UG899 (v2014.1) 2014 年 4 月 2 日
japan.xilinx.com
Send Feedback
62