45nmノードの技術革新の課題

yms
歩留まり管理ソ
リューション
www.kla-tencor.com/ymsmagazine
日本語版 2007年冬号
記事 の目次
欠陥管理
計測
ファブの経済性
マスク
データ・ストレージ
製品ニュース
45nmノードの技術革新の課題
誌の本号では、マスク検査テクノロジの最新情報から計測用のセンサ・
ウェーハのアプリケーションをはじめ、 45nm ノードの検査および計
測に関連するさまざまな事例を取り上げる
目次
1
2
3
4
5
欠陥管理
Featured Articl es
3
歩留まり向上のためのベアウェーハ上のLarge欠陥を特定する新技術
Hynix Semiconductor Corporation and KLA-Tencor Corporation
8
電子ビームウェーハ検査によるエッチングプロセスの監視
Powerchip Semiconductor and KLA-Tencor Corporation
11 生産性向上とテストウェーハのコスト削減に向けて
KLA-Tencor Corporation
計測
6
7
8
9
10
11
12
13
14
15
16
14 45nmノードのプロセスで用途が拡大するウェーハ・レベル計測
KLA-Tencor Corporation
19 45nmへ向けた分光エリプソメトリ膜厚測定
KLA-Tencor Corporation
ファブの経済性
24 多くの利点をもたらすサイクルタイムの短縮
KLA-Tencor Corporation
17
18
19
20
21
22
23
24
マスク
27 45nmノードのDie-to-Databaseレチクル検査のフィールド評価結果
Toppan Printing Co., Ltd, Advanced Mask Technology Center GmbH & Co and KLA-Tencor Corporation
データ・ストレージ
25
26
27
34 硬質ディスク研磨における化学機械平坦化(CMP)スラリー開発に向
けたレーザ使用欠陥検出システムの活用
KLA-Tencor Corporation
42 製品ニュース
28
29
30
31
32
33
34
35
編集責任者
Charles Lewis
36
37
寄稿者
Becky Pinto
Reeti Punja
Lisa Garcia
38
39
制作編集者
Robert DellaCamera
40
41
アートおよび制作責任者
Inga Talmantiene
YMS誌は、KLA-Tencor Corporationが刊行しています。
TYMS誌を入手するには、www.kla-tencor.com/ymsmagazineにアクセスしてください。
制作コンサルタント
Jovita Rinkunaite
製品案内を請求するには、www.kla-tencor.com/productsをご覧ください。
発行編集者
Cathy Silva
2007年冬号 歩留まり管理ソリューション
©2007 KLA-Tencor Corporation. All rights reserved. 本稿の内容をKLA-Tencor Corporationの許可なく複製することは許可されていません。
本稿に記載されている製品は、各社または各組織の商標として登録されています。
|
www.kla-tencor.com/ymsmagazine
42
43
44
45
46
特集記事
1
2
3
歩留まり向上のためのベアウェーハ上の
Large欠陥を特定する新技術
Kerem Kapkin, KeunSu Kim, Jason Saito, Hyosik Suh – KLA-Tencor Corporation
Chung Geun Koh, Dae Jong Kim, Byeong Sam Moon, Seung Ho Pyi – Hynix Semiconductor Corporation
4
5
6
7
8
9
10
11
12
本稿で紹介する新たなパターンなしウェーハ検査技術によって45nm世代に重要なLarge Shallow Defectの検出度と分類能を向
13
上できる。マルチチャンネル検出技術によって可能になったこの欠陥分類機能は、検出した欠陥が洗浄可能かどうか、あるい
14
はウェーハを廃棄しなければならないのかどうかを判定することができ、ウェーハメーカおよびICメーカに有益であろう。製
造プロセスの上流部でこのような識別を行うことによって、ウェーハやICの品質向上と歩留まり向上が可能になる。
15
16
17
18
デバイスの微細化が進むにつれ、ウェーハの表面状態、ウェ
ーハ上の欠陥サイズ・欠陥形状および欠陥種類がデバイスの
歩留まり・性能・信頼性に大きな影響を与えるようになって
きた。ITRS (国際半導体技術ロードマップ)のガイドライン
によると、ベアウェーハの表面上の欠陥のクリティカルサイ
ズは、デザインルールの1/2程度であると規定されている。
同時にICメーカは、受入ウェーハ上の欠陥数の許容値を年
々小さくしており、さらには現在欠陥数だけでなくLLPD
(大型の輝点欠陥)の数も定めはじめている。これらの
LLPDというものは、横方向に広く深さ方向には非常に浅い
欠陥である。幅は数ミクロンメートルにたいして高さはわず
か数ナノメートルの場合もある。LLPDの発生原因は、単結
晶シリコンのインゴット成長時と、その後のウェーハ加工工
程と表面前処理プロセスの両方の可能性がある。これらの
LLPDは、ベアシリコンウェーハの受け入れ時に、ピット、
へこみ、エアポケット、および研磨スクラッチとして現れ、
歩留まりを著しく低下させるキラー欠陥となる可能性が高
い。したがって、ICメーカは、デバイスの処理を開始する前
に、LLPDのあるウェーハを特定して選別する必要がある。
ウェーハメーカは、多くのパーティクルの中からLLPD欠陥
を検出し、正確に分類する必要がある。そうすればそのウ
ェーハがクリーニングやリワークできるのかどうか判断で
Particle
COP
きるようになり、ウェーハを不要に廃棄することを回避で
きる。また、LLPDはウェーハメーカ内での製造過程で発生
するものであるから、ウェーハメーカはLLPD発生の根本原
因を速やかに特定し、対策を講じ、LLPDに起因した不要な
廃棄を避ける必要がある。
本稿では、パターンなしウェーハ検査装置であるSurfscan
SP2XPを使用することによって、歩留まりに大きな影響を与
えるこれらのLLPDをパーティクルから自動的に分離する方
法を実証する。また装置の新機能であるGC(グローバルコ
ンポジット)とRBB(ルールベースのビンニング)が、ウェー
ハメーカの最終検査工程とICデバイス製造のIQC(受入品質管
理)アプリケーションの両方に有効であることを示す。
19
20
21
22
23
24
25
26
27
28
29
ウェーハ欠陥のタイプとその原因
30
デバイスの歩留まりに影響を与える従来の微細な(ミクロン
メートル以下の)欠陥には、パーティクル、COP(結晶起因ピ
ットまたはパーティクル)、残留物、スクラッチなどがあ
り、すでにその特性は十分に解析されている。図1にこれら
の欠陥を示す。ウェーハ上の大きなパーティクル状の欠陥
は、搬送時の汚染、プロセス装置、またはクリーンルーム
の環境に起因しており、これらのパーティクルの多くは、
さまざまなクリーニングプロセスで除去できる。
31
Residue
32
33
34
35
36
37
38
Scratch
39
40
41
42
0.1µm
0.1µm
0.1µm
0.1µm
43
44
図1:従来の欠陥やLPD(輝点欠陥)の例、これらの欠陥に対しては検出および分類のためにより高い感度が要求されている
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
特集記事
しかし、LLPDはこれらに比べて特定して特性評価するのが
難しい。図2に一般的なシリコンウェーハ製造工程の概念図
を示す。へこみ状LLPD欠陥の原因は、結晶成長プロセスと
ウェーハ加工プロセスのどちらかに大別できる。
1
Large defects (~16µm)
2
3
4
Surfscan SP2XP検査システムによ
り、種類、大きさ、数量別に、大規
模な照射点の不良を検出するこ
とができます。
これまではLLPD欠陥をLLPDとして分類できず、LPDの一種
でしかなかった。もちろん、LLPDをLPDから分離して、個々
の種別ごとに特定および分類することは重要である。もし分
類が可能になれば、例えばウェーハメーカなどはこの情報を
利用して、製造プロセスや結晶成長プロセスのどこに問題が
あるかを特定し、対策を導入できるようになる。またICデバ
イスメーカはウェーハの受け入れ検査において、LLPDの種
別・サイズ・個数を仕様に追加できるようになる。
ICメーカがこれまで行ってきたウェーハの受け入れ検査の
方法は次のとおりである。
第1段階:パターンなしウェーハ検査ツール(最初のサンプ
リング)
第2段階:確認のための目視検査
第3段階:SEMによる確認
また、ウェーハメーカは、これまで、最も重要な欠陥種別
である図3に示すようなへこみ状のLLPDを効率よく特定し分
類することができず、SEMレビューを行う必要があった。
SEMレビューでは、欠陥のわずかな抜き取りサンプルしか
検査できない。最も重要なへこみ状のLLPDは、エアポケッ
ト欠陥と呼ばれるものである。この欠陥は、結晶引き上げ
工程で形成され、ウェーハ全体にわたってシリコン基板内
に分散する。表面に露出したエアポケットの大きさは、そ
の発生場所と、ウェーハのスライスおよび研磨工程に依存
する。露出したエアポケットは、さまざまな大きさのピッ
トとして測定できるが、バルク内に埋め込まれている欠陥
は、ボイドとして残される。
5
6
7
8
図3:新しい(へこみ状) LLPD欠陥(それぞれ、研磨関連の欠陥、
エアポケット、エッチング関連の欠陥)
9
10
このほかにも、へこみ状欠陥にはエッチング工程や研磨工
程で生じる、機械的または化学的損傷のものもある。これ
らの欠陥は、ウェーハの表面に限られており、基板内には
存在しないが、イオン注入のプロファイル、デバイスの段
差、および電気的特性に影響を与え、ダイを破壊する可能
性がある。一部のへこみ状LLPDは、インラインプロセス監
視時に捕捉されれば、さらに研磨およびエッチング処理を
施すことによってリワーク可能である。
11
歩留まりへの影響を防ぐためのLLPDの検出および分類方法
18
ウェーハメーカは、出荷前検査工程において全ウェーハの
すべてのDOI(Defect of Interest)を高スループットかつ低
コストで実施できるような、量産に適した検査技術を必要
としている。ウェーハメーカからは、高いAcuuracyおよび
Purityをもって広範なDOIタイプを捕捉し、自動的に分類す
ることの重要性が示されている。それによって、仕様外の
ウェーハをICデバイスメーカに出荷するのを防ぎ、一方で
疑似欠陥によるウェーハの不要なリジェクトとスクラップ
をなくすことができる。
19
ほとんどのICデバイスメーカは、受入ウェーハの検査にラ
ンダムなサンプリング方法を適用しており、仕様外のウェ
ーハが1枚でも検出された場合は、出荷品全体を拒否するこ
とがある。生産の遅れを防ぐために、新しいウェーハの受
入から一括サンプリングの完了までの時間は、ICメーカに
とって重要である。しかし、これまでの3段階の工程は2週
間かかる可能性があり、遅れが生じたり、量産仕様を満た
していないウェーハを受け入れるリスクをICメーカが負う
必要が生じることもある。
12
13
14
15
16
17
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
Crystal
Wire Saw
Lapping
Etching
Polishing
Inspection
35
36
37
38
39
40
41
42
LLPD (Crystal)
LLPD (Wafering process)
43
44
図2:シリコン・ウェーハ製造プロセスの概略図とへこみ状LLPD
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
特集記事
Wide
Narrow
Normal and BF
Illumination
Bright
Field
DIC
Collector
Rotating
Wafer
Scan
ロジでは差分干渉コントラスト(DIC)を利用して位相の差
をとらえ、図5のような高さや勾配の情報を明らかにする。
このDICテクノロジを使用して、暗視野チャネルでは検出で
きない可能性がある大きな欠陥、平坦な欠陥、または浅い
欠陥を検出できる。
1
上記の方法により、全チャネルでのウェーハ表面の詳細な
光学情報を取得したあとは、RBB(ルールベース欠陥分類)
という新しいアルゴリズムを使用した信号解析を行うこと
ができるようになる。ユーザはRBBを使用して、図6に示す
5つの欠陥チャネル(BF-DIC、DF Normal Wide、DF Normal
Narrow、DF Oblique Wide、DF Oblique Narrow)間で信号を比
較することができる。
5
これらの信号比較の結果を使用することで、対象分類が
可能となる。すべての暗視野チャネルは、Darkfield Composite
(暗視野チャネルを全て統合したマップ)として結合でき、
Oblique
Illumination
図4:Surfscan SP2XPの照明と光学テクノロジ
2
3
4
6
7
8
9
10
11
12
13
14
ウェーハメーカとICデバイスメーカは、LLPDがリワークでき
ないへこみ状LLPDであるのかとリワーク可能なLLPDなのかを
区別できる、高信頼・高感度・高速度な検査技術を必要とし
ている。その要求を満たすために、いくつかの新しい機能を
備えた新しいパターンなしウェーハ検査ツールが開発された。
Normal
Oblique
BF DIC
15
16
Wide
17
18
19
図4に示すように、新しい装置では、355nm UVレーザをベア
シリコン・ウェーハに垂直方向および斜方向から照射し散乱
光を測定することでDOIを検出する。さまざまな欠陥タイプ
からの散乱光が、Wide ChannelとNarrow Channelへ集光され、こ
れらの散乱光の信号を見ることにより解析と分類が可能とな
る。このアーキテクチャにより、4つの信号(Oblique Narrow、
Oblique Wide、Normal Narrow、Normal Wide)が形成される。
20
Narrow
21
22
23
24
この装置では、さらに多種の欠陥タイプや表面特性を捕捉
するために、多チャネルの暗視野信号に加えて新しい明視
野(BF)照明チャネルも採用している。この明視野テクノ
図6:Surfscan SP2XPでは各欠陥について5つのチャネルの情報が生
成される
25
26
27
28
Signal
Convex
Step
29
Concave
30
0
A
B
C
D
31
E
32
33
Time
34
35
36
37
38
39
40
A
B
Beam motion
C
Arbitrary surface
D
E
41
42
43
44
図5:明視野(BF)照明による微分干渉コントラスト(DIC)検査技術
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
特集記事
Grand
composite
Brightfield
Classification with
rules-based binning
Defect
classification
RBB
LPD
LLPD
crystal
Grand
composite
Grand composite
with RBB
LLPD
wafering
新しいRBBベースの方法では、29個のへこみ状LLPDをすべ
て自動的に検出できた。間違ってへこみ状LLPDとして分類
されたパーティクルは1個だけだった。
1
ケーススタディ2:23枚の200mmウェーハ
4
このケーススタディでは、さまざまなウェーハメーカから
入手した23枚の200mmウェーハを同様にスキャンして結果
を統合し、従来の方法と新しいRBBベースの方法の比較を
行った。SEMレビューでは、これらの23枚のウェーハで合計
28個のへこみ状LLPD欠陥が確認された。
5
•
Darkfield
composite
LLPD
wafering
図7:ルールベースのビンニングによる分類結果
明視野を含めた5つのすべてのチャネルは、Grand Composite
(全チャネルを統合したマップ)として結合できる。Grand
Compositeと明視野チャネルは、LLPD欠陥の特定に使用で
き、RBBを使用してLLPD欠陥をさらにパーティクル、エア
ポケット、結晶起因ピット、またはエッチング欠陥に分類
可能である。解析の概略図を図7に示す。
図8に使用されているデータは、7枚の300mmウェーハを検
査したものである。暗視野チャネルの情報(Oblique Narrow、
Oblique Wide、Normal Narrow、Normal Wide)が1つのDarkfield
Compositeと明視野に結合されている。Darkfield Compositeの欠
陥とBF欠陥を重ね合わせると、共通の欠陥がLLPD欠陥として
明確化することができた。SEMで検証した結果、この自動欠陥
分類はPurity(分類の正確性)が100%であることを確認した。
LLPD欠陥を特定したら、検査装置でRBBを使用して、明視野
(DIC)情報を暗視野チャネルデータと正確に結合することに
より、大型パーティクル、エアポケット、結晶起因ピット、お
よびエッチング欠陥をさらに特定し、分類することができる。
従来の方法を使用したLLPD検査の結果と、RBBによる新技術を使
用したLLPD検査の結果の比較
従来の方法では、合計65個のへこみ状LLPD欠陥が報告さ
れた。このうち、
- 20個のへこみ状LLPD欠陥が正しく分類された。
- 45個のパーティクルが間違ってへこみ状LLPD欠陥とし
て分類された。
- また、8個のへこみ状LLPD欠陥が見逃された。
従来の方法では、合計28個のへこみ状LLPD欠陥が特定さ
れた。このうち、
- 16個のへこみ状LLPD欠陥が正しく特定された。
- 12個のパーティクルが間違ってへこみ状LLPD欠陥とし
て分類された。
- また13個のへこみ状LLPD欠陥が見逃された。
このケーススタディの結果を図9に示す。
従来の方法では、パーティクルがへこみ状LLPD欠陥として
報告されたために、ウェーハメーカの最終検査工程でウェ
ーハの誤ったリジェクトが43%増加した。さらに、へこみ状
LLPDの総数の45%が見逃され、ICメーカの顧客がリスクを
負うことになった。
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
6
7
8
9
10
11
12
13
14
15
従来の方法では、パーティクルが間違ってへこみ状LLPD欠
陥として分類されたために、ウェーハの誤ったリジェクト
が69%増加し、さらに、へこみ状LLPD欠陥の29%が見逃さ
れた。このことは、ICデバイスメーカにおいてデバイスの
歩留まりに予期せぬ影響をもたらす可能性がある。
16
新しい方法では、SEMレビューで確認されたとおり、28個の
へこみ状LLPD欠陥すべてが自動的に正しく検出された。
17
18
19
20
21
22
Darkfield
composite
Grand composite
LLPD : DF & BF
Brightfield
common defects
oblique + normal
8950 DFC Defects
17 LLPD Defects
LLPD
LPD
Purity
LLPD
17
0
100%
LPD
0
8950
100%
100%
30
31
33
34
35
Missed LLPD
Particles classified as LLPD
36
37
1ea.
38
12ea.
29ea.
29ea.
16ea.
39
40
13ea.
Conventional
method
28
32
True LLPD
Missed
LLPD
25
29
LLPD
図8:暗視野およびBF(DIC)のグランドコンポジットによるLLPD分類
LLPD
24
27
56 BF Defects
An overlay of inspection
data from seven
300mm wafers
23
26
LLPD
Cluster
ケーススタディ1:20枚の300mmウェーハ
•
3
このケーススタディの結果を図10に示す。
Accuracy 100%
さまざまなウェーハメーカから入手した20枚の300mmウ
ェーハを検査し、スキャン結果を統合して、従来の方法
と新しい方法を比較した。SEMレビューでは、合計29個の
LLPD欠陥が明らかになった。
2
41
New
approach
Identified LLPD
by review
図9:ケーススタディ1:300mmウェーハの製造でのへこみ状LLPDに
関連する現在の課題
42
43
44
45
46
特集記事
True LLPD
Missed LLPD
Particles classified as LLPD
45ea.
LLPD
20ea.
28ea.
28ea.
8ea.
Missed
LLPD
Conventional
method
New
approach
Identified LLPD
by review
図10:ケーススタディ2:200mmウェーハ製造でのへこみ状LLPDに
関連する現在の課題
LLPD欠陥タイプの電気的解析:大きいパーティクル(LPD)と大
きいピット(LLPD)の比較
いくつかのタイプのLLPD欠陥がどのように歩留まりに影響
を与えるかを解析するために、LLPD欠陥に関して受入プラ
イムウェーハを検査した。このウェーハで2個のLLPD欠陥
が捕捉された。SEM解析では、大きいパーティクルと結晶
起因ピットとして特定された。このプライムウェーハは、
46 1C
2104K1C
Large Particles
8M1A
90 1A
LLPD Wafering
Killer Device
87 77
300mm prime wafer inspection
before device processing
93 1A
77
1A
s
Yielding Die
図11:DRAMデバイスメモリセルのビットマップ:IC製造において80nmノード
のDRAM上のへこみ状LLPDと大型パーティクルが歩留まりに与える影響の比較
80nmのDRAMメモリデバイス用のプロセスに投入された。
LLPD欠陥のある場所に形成されたダイの電気的テストの結
果から、重大度のレベルは異なるが、どちらも歩留まりに
関連する問題があることが明らかになった。図11に示すよ
うに、大きなパーティクル欠陥はいくつかの不良メモリセ
ルの原因となったが、結晶起因ピットはメモリデバイス全
体を破壊することが分かった。
結晶起因ピットに起因する不良のメカニズムをさらに解析
したところ、CMP工程の後、Si3N4エッチング停止層の上で
SiO2 STI (シャロートレンチアイソレーション)膜の一部が
研磨および除去されていなかった。したがって、ピット内
およびピット付近では、後続の湿式化学Si 3N 4除去プロセス
が正常に完了せず、メモリセルを構成するトランジスタを
機能する状態に形成されなかったと考えられる。
1
2
3
4
5
6
7
8
9
10
11
12
結論
13
デバイスのデザインルールの微細化によって、微小サイズの
欠陥を捕捉する必要があるため、感度への要求が高まってい
るが、それと同時に歩留まりに影響を与えるLLPD欠陥の重要
性も増してきた。
14
ウェーハメーカは、SEMを用いてランダムに微小欠陥をレビ
ューする現在の検査方法よりも、迅速に効率よくすべての
LLPDを捕捉して正確に分類する方法を必要としている。へこ
み状欠陥と従来のパーティクル欠陥を識別することにより、
誤ったウェーハ廃棄を回避したり、IQC仕様を満たしていな
いウェーハがICデバイスメーカに出荷されたりするのを防ぐ
ことができる。そして、検査装置を使用してウェーハ製造プ
ロセスの初期段階にこれらの欠陥を自動的に捕捉し、正確に
分類することによって、速やかに根本原因を特定できるとい
うメリットも得られる。それによって、ウェーハメーカは適
切なプロセスステップで速やかに対策を導入し、製品の品質
を安定化することができる。
ここで紹介した新しいウェーハ検査技術がウェーハメーカと
ICデバイスメーカが共に直面している課題を同時に解決できる
ことを実証し、製品の品質、コストおよび生産性を向上させる
ためのソリューションを提供した。新しいRBBテクノロジを多
チャネル処理と組み合わせることにより、ウェーハメーカと
ICデバイスメーカは、従来の欠陥タイプと歩留まりを阻害する
LLPDの欠陥タイプの両方を捕捉し、正確に分類し、製造効率を
大幅に高めることができる。それによって、全体的な歩留まり
における重要な要素であるウェーハの品質を向上させ、最終的
にファブの生産性を改善するという目標を達成できる。
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
謝辞
Device failure area
Before CMP
After CMP
Hynix Semiconductorウェーハエンジニアリンググループおよび
KLA-TencorのSurfscan部門のエンジニアリングおよびアプリ
ケーション担当者による甚大な貢献に謝辞を表明します。
またウェーハメーカ各社からの強力な支援がなければ、こ
の研究は成功しなかったであろう。
STI
Si
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
37
38
39
40
41
参考文献
図12:へこみ状LLPDのパターン形成およびCMPの問題が原因で残された酸
化膜と除去されていない窒化膜によって生じたデバイスの不良
36
1. International Technology Roadmap for Semiconductors 2005 Edition,
Yield Enchancement, pp. 7–10.
2. C.G. Koh, D.J. Kim, Hynix Technical Report, A06041883, Evaluation
Result of SP2 SSIS - 200mm Wafers, UNPUBLISHED.
3. C.G. Koh, B.S. Moon, D.J. Kim, Hynix Technical Report, A06095565,
Evaluation Result of SP2 SSIS - 300mm Wafers, UNPUBLISHED.
42
43
44
45
46
欠陥管理
1
2
3
電子ビームウェーハ検査によるエッチン
グプロセスの監視
Luke Lin, Jia-Yun Chen, and Wen-Yi Wong – Powerchip Semiconductor
Mark McCord, Alex Tsai, Steven Oestreich, Indranil De, Jan Lauber, and Andrew Kang – KLA-Tencor Corporation
4
5
6
7
8
9
10
11
12
13
エッチングプロセスウィンドウクォリフィケーション(エッチングPWQ)では、電子ビーム検査を使用してコンタクトエッチン
グ工程以降の欠陥レベルを設定することにより、歩留まりの正確なデータを出力できる。それによって、ユーザはプロセス歩
留まりウィンドウの中心でエッチングプロセスを維持し、エッチングプロセス条件を監視できる。
プロセスウィンドウクォリフィケーションは、プロセスウ
ィンドウの中心でリソグラフィプロセスを維持するため
に、光学ウェーハ検査および電子ビームウェーハ検査と共
に一般に使用される手法である。フォーカスおよび露光量
のさまざまなパラメータを変更して、ウェーハ全体のさま
ざまなダイが露光される。欠陥検査は、異なる露光条件を
与えられたダイの欠陥量を調べるために用いられ、専用の
ソフトウェアを用いて結果を解析する。
エッチングプロセスの場合も、プロセスウィンドウの中心
でプロセスを維持することが重要である。たとえば、コン
タクトレイヤのアンダーエッチングは閉塞コンタクトや抵
抗コンタクトを生じる一方で、オーバーエッチングがトラ
ンジスタのソース、ドレイン、ゲート間でショートを引き
起こす可能性がある。これまでは、ウェーハスプリットを
使用して、最適なエッチングプロセス条件を決定してき
た。2枚以上のウェーハを使用し、異なるエッチング条件で
14
15
16
17
各々のウェーハをエッチング処理する。その後、光学検査
または電子ビーム検査を使用して、各ウェーハでの欠陥レ
ベルを比較できる。さらに、最終工程での電気テストによ
って確認ができる。しかしながら、この方法には欠点がい
くつかある。たとえば、特に欠陥シグネチャーを用いるこ
とによって最適プロセス設定が微妙であると決定された場
合、制御されない可変要素によってデータの不確実性が高
まる可能性がある。これらの可変要素には、前のレイヤの
プロセス変動、リソグラフィでの変動、エッチングプロセ
ス(ツール/チャンバ)での変動、検査ツールの安定性のばらつ
きなどがある。電子ビーム検査の場合、ウェーハ間によっ
て表面の残留電荷や大気分子汚染(AMC)が異なることも検査
結果に影響を及ぼす可能性がある。
これらの理由により、最適なエッチングプロセス条件を決
定するために、1枚のウェーハを使用することを推奨する。
この研究では、このような手法を開発し、その手法をうま
く使用してエッチングプロセスの条件を最適化することが
できたので報告する。
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
Test 1
実験方法
Test 2
この研究では、0.11µmデザインルールのフルフロー
DRAMウェーハを3枚使用した。コンタクトエッチング工程
までは、すべてのウェーハを通常どおりに処理した。トラ
ンジスタのコンタクトエッチングレベルまで処理された各
ウェーハで、さまざまなダイにエッチングプロセスの通常
Test 3
34
35
36
37
38
39
図1:通常のダイとエッチングプロセス条件の異なるダイの位置を示
すウェーハダイレイアウト
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
エッチング条件
ガス流
オーバーエッチング時間
通常
20 sccm
69秒
テスト1
21 sccm
55秒
テスト2
19 sccm
69秒
テスト3
19 sccm
75秒
表1:エッチングウェーハのさまざまなダイに使用したエッチングプロセス
条件の一覧表
40
41
42
43
44
45
46
欠陥管理
ようにして、さまざまなプロセス条件をすべて1枚のウェー
ハに適用した。図2に、リソグラフィとエッチングの各プロ
セスステップのフローチャートを示す。
Wafer preparation and
photo exposure shot edit
PR coating and etch
Condition 1~N
Shot exposure/development
Photo rework
Repeat
1~N
Overlay measurement
in spec?
No
Yes
Condition 1~N etch
PR strip & wafer clean
CD measurement
Scan by e-beam inspector
図2:1枚のウェーハで複数のエッチング条件を使用してダイを製造
するためのプロセスフロー
の条件、または表1に示す異なるエッチング条件のいずれか
を適用した。各ダイは、自動検査ツールで通常のダイとテ
ストダイがダイ間比較出来るように配置された。テストダ
イの列を、通常の条件を使用して処理した2列のダイと交互
に配列した。このようにして、各テストダイを2列の隣接す
る通常のダイと比較できるようにした。さらに、発生する
可能性のあるあらゆるウェーハレベルの欠陥の特徴とプロ
セスの欠陥の特徴を区別できるように、ウェーハ全体にさ
まざまなテストダイを分散させた。通常のダイおよびテス
トダイのウェーハレイアウトを図1に示す。
1枚のウェーハのさまざまなダイで多様なエッチング条件
を処理するために、多くのリソグラフィ工程を使用した。
まず、ブランクレジストによってテストダイを保護しなが
ら、通常のダイを露光してエッチングした。その後、未露
光レジストによって通常のダイを保護しながら、テストダ
イでさまざまなエッチングプロセス条件ごとにリソグラフ
ィとエッチングの各プロセスステップを繰り返した。この
ウェーハ#1は、エッチングプロセスステップの後、コン
タクトのサイズをCD-SEMで確認し、電気テストまで通常の
処理を続行した。ウェーハ#2は、プロセスフローから取
り出して、まずeS31電子ビーム検査ツールで検査し、さら
にeS32電子ビーム検査ツールで検査した。ウェーハ#3は、
FIBやTEMなどの可能性のある今後の研究のために、コンタ
クトエッチング工程の後で確保した。
1
2
3
4
5
6
7
8
9
感度を高めるために70nmピクセ
ルを使用し、コントラストを強調
するためにプリチャージ処理を行
って、エッチング条件と最終工程
でのビット歩留まり結果の両方に
関連のある微妙なアンダーエッ
チング欠陥を検出した。
10
11
12
13
14
15
16
17
18
19
結果
ウェーハ#2は最初に、ランディングエネルギー1000eV、ビ
ーム電流212nA、ピクセルサイズ100nmを使用してeS31電子
ビーム検査ツールで検査した。アンダーエッチング状態の
コンタクトが正常のコンタクト(DVC)より明るく検出さ
れるようにフィールドの条件をセットアップした。この検
査では、エッチング条件とダイ欠陥の重要な関連性を明ら
かにできなかった。ただし、通常の処理が続行されたウェ
ーハ#1における最終工程のビット不良テストでは、エッチ
ングプロセス条件に相関した明らかな歩留まり低下が示さ
れた。ビット歩留まりマップを図3に示す。スラッシュは、
結果としてビット歩留まりの低下が判明したダイを示す。
この時点で、eS32を使用してウェーハを再検査した。この
ツールは感度が向上しており、より広範な光学系設定が用
意されている。検査ケアエリアをアレイ領域のエッジにま
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
図3:エッチングプロセスダイスプリットおよびインライン欠陥検査
マップとの関連性を示す最終工程のビット不良マップ
図4:エッチングプロセス条件での欠陥のばらつきを示すエッチング
ウェーハの欠陥検査マップ
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
欠陥管理
図5:アンダーエッチング状態のコンタクトのクラスタを示す
eS32検査のレビュー画像
2
4
な関連性が明らかになった。欠陥のあるコンタクトがいくつ
か含まれている検査ツールのレビュー画像を図5に示す。
1
ePMは、KLA-Tencorで現在開発中の新しいeS32アルゴリズム
である。ePMを使用すると、標準の電子ビーム検査よりも迅
速に許容範囲外のウェーハを検出できる。ウェーハ上の特
定の数(またはすべて)のダイでそれぞれ同じ場所の画像を取
り込む。各画像の平均グレイレベルが計算され、マッピン
グされる。このグレイレベルは2次電子の平均放出量と関連
がある。わずかなプロセス変動でも2次電子の放出量に大き
な変化を引き起こす可能性があるので、この手法を使用し
て、エッチングやその他のプロセスステップにプロセス許
容度の限界を設定できる。図6に、ウェーハのePMグレイレ
ベルマップを示す。このマップは、通常のダイとテストダ
イの違いをはっきりと示している。図7に、4つの各エッチ
ングプロセス条件について、ウェーハ#1で測定されたコン
タクトのCDと、ePMによって明らかになった平均グレイレ
ベルを示す。ここでもまた、2つの測定値に高い関連性が見
られる。予想したとおり、正常なコンタクトは周囲の酸化
膜よりも暗くなるので、アンダーエッチング状態では平均
グレイレベルに比較して明るく見えた。
3
2
4
5
6
7
8
9
10
11
12
13
14
15
16
17
6
8
10
12
2
4
6
8
10
12
14
図6:エッチングプロセス条件と画像のグレイレベル強度との関連性
を示すウェーハのグレイレベルePMマップ
で拡張した結果、エッジ近辺でほとんどの欠陥が発生して
いることが判明した。欠陥のあるコンタクトのコントラス
トを強調するようウェーハ表面の電圧を調整するために、
特別なプリチャージ処理を行った。さらに、感度をいっそ
う高めるために、検査ピクセルサイズを70nmまで小さくし
た。この時点で、エッチング条件と最終工程のビット歩留
まり結果の両方に関連のある微妙なアンダーエッチング欠陥
が検出された。欠陥マップを図4に示す。ウェーハ2の検査欠
陥密度とウェーハ1の電気的ビット歩留まりの間には、十分
結論
18
エッチングプロセスウィンドウクォリフィケーション(エッ
チングPWQ)は、プロセス歩留まりウィンドウの中心でエッ
チングプロセスを維持するために、コンタクトエッチング
工程以降の欠陥レベルを設定し、正確な歩留まりデータを
出力するための有望な手法であることが明らかになった。
1枚のウェーハに実験用のデザインを配置することで、ウェ
ーハのプロセス変動や検査ツールの変動によるデータの不
確実性を回避する。きわどいエッチングプロセス条件から
微妙なアンダーエッチング欠陥を検出するには、プリチャ
ージ処理を行い、感度を最適にする光学系条件を選択する
必要があった。検査欠陥と電気的ビット歩留まりとの間で
十分な関連性が明らかになった。さらに、ウェーハ全体の
2次電子放出量を測定するeS32検査ツールの電気的プロセス
監視機能であるePMは、エッチングプロセス条件の監視ツー
ルとして有望であることがわかった。
19
CD (nm)
0.175
0.170
60
Gray level
test 2
test 3
27
28
29
30
33
36
37
38
90
39
40
41
42
43
120
test 1
26
80
110
nominal
25
70
0.165
0.155
24
35
100
0.160
23
34
0.185
0.180
22
32
JasonLim氏とKumarRaja氏のこの研究へのサポートに感謝する。
Gray level
0.190
21
31
謝辞
CD (nm)
0.195
20
nominal
図7:さまざまなエッチングテスト条件についての測定CDと画像の平均グレイレベルの比較
test 1
test 2
test 3
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
欠陥管理
1
2
3
生産性向上とテストウェーハのコスト削
減に向けて
Ming Li, Lisa Cheung, and Mark Keefer – KLA-Tencor Corporation
4
5
6
7
8
9
10
11
12
13
Surfscan SP2検査装置を使用すると、テストウェーハの再利用回数を増やすことができ、これにより新品のテストウェーハの
投入量や最研磨回数を減らすことができ、ひいてはファブ全体での製造コストを低減できる。大規模なファウンダリでは、こ
の新しい方法によってウェーハの再利用回数を改善し、再利用回数を15%改善することができた。これにより年間300万ドル
を超えるコスト削減につながった。
14
15
16
17
18
19
現在のウェーハ製造工場では生産性を向上すると同時に変
動コストを削減する必要に迫られている。ある特定の分野
ではプロセスコントロール(計測および検査)装置を用いるこ
とによって、変動コストを最小限に抑えられるところがあ
る。ひとつめは、テストウェーハという消耗品の削減であ
る。利益に直結しないテストウェーハの消費量を抑えるこ
とで変動コストを抑えることができる。ふたつめはプロセ
ス装置の生産性を向上させることである。年間のメインテ
ナンスサイクルの回数を減らすことと、誤った異常アラー
ムによるダウンタイムを短縮することによって変動コスト
を抑えられる。この論文では、これらの考えを詳しく検討
して、先端の65nmデザインルールファウンダリでテストウ
ェーハのコストを削減するための効果的な方法を探る。
プロセス装置の監視
一般に、パターンなしテストウェーハ(あるいはモニター
ウェーハ)の欠陥数を測定してプロセス装置の稼動状態を
監視するのはつぎのようなときである。定期点検(プリベ
ンティブ・メンテナンス)の後、または製品ウェーハの投
入前、または量産期間中の定期検査時、あるいは各製造シ
フトの前でのツール監視をするときなど。したがって、パ
ターンなし検査装置は、定期点検後、または予定外のダウ
ンタイムの後でプロセス装置を再検査するために実施し、
プロセス装置の異常を迅速に診断するためにもちいるので
ある。さらに、新しく導入したプロセス装置の評価にも用
いられ、さらにはプロセス装置をラインから外すかどうか
という深刻な問題につながる装置からのコンタミネーショ
ン問題(ツールダウン問題)の診断を行うためにもパターンな
しウェーハ検査ツールは使われている。
フロントエンドのような、クリティカルディメンジョンが
微細でありより高い検査感度が必要とされるところではプ
ロセス装置モニタリングで使用するウェーハは非常にグレ
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
ードが高い。プロセスモニタリングにおいては、このよう
なハイグレードなテストウェーハを1プロセスあたり1枚使
用している。プロセス装置(プロセスチャンバをアクティブ
にした状態またはアクティブにしない状態で)で処理するテ
ストウェーハを処理前後で検査することで、検査前後の欠
陥数を引き算する計算方法、またはより高度な方法として
はマップ間欠陥オーバレイ比較を使用して計算する(参考文
献1)方法によって、追加欠陥がどのようなものであるかを評
価する。このような方法によってプロセス装置内で処理さ
れたことによって追加された欠陥数が明らかになり、Particls
Per Wafer Pass (PWP)を得ることができるようになる。
プロセス装置監視手順
プロセス装置モニタリング手順の最初のステップでは、テ
ストウェーハをグレード別にビンに割り当てる。グレード
(通常はA、B、C)は、さまざまな監視アプリケーションに
応じたテストウェーハの品質を表す。微細なサイズの異物
は、表面が粗いウェーハ上よりも滑らかなウェーハ上で確
実に検出できるので、この場合はその表面の粗さである。
表面の粗さは通常、検査ツールを使用してヘイズを検出す
ることによって測定する。ヘイズは、ウェーハの表面から
散乱した光の低周波かつ低振幅の成分である。ヘイズは
ppm単位で測定する。ppmは、入射レーザビームの強度に対
する表面の平均散乱強度の比率である。ベアウェーハの場
合、ヘイズは表面の粗さと高い関連がある(透過膜が存在す
る場合、ヘイズには膜パラメータの変動も含まれる)。
次のステップは、実際のプロセス装置モニタリングのステ
ップであり、処理前検査と処理後検査を比較し、追加欠陥
を数量化する。テストウェーハは最表面を化学的に洗浄す
ることでプロセス装置で追加された膜レイヤと異物が除去
され、次の装置モニタリングのために再利用できるように
なる。化学的洗浄により、表面の粗さまたはヘイズ(図1の上
側のループ)が大きくなったら、テストウェーハのグレード
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
欠陥管理
In-house chemical clean
Regeneration area
SP1
Inspection
Test
wafers
Pre SP1
Inspection
Grade
A, B, C
PWP < X
Post SP1
Inspection
Process
Grading
を変更する必要がある。リサ
イクル処理を一定の回数行っ
た後、テストウェーハが最も
粗いグレードの仕様を満た
さなくなったら、再生(再研
磨)またはスクラップに送る
(図1の左下のループ)。
A < X1 counts <Y1 ppm
B < X2 counts <Y2 ppm
モニタウェーハの寿命改善
C < X3 counts <Y3 ppm
Scrap $300/wfr
New
wafers
Grade
Reclaim 3
Reclaim 2
Reclaim 1
Re-polish $30 / wfr
A
欠陥検出感度は、欠陥信号と
そのバックグラウンドノイズ
の比率によって決まる。バ
ックグラウンドノイズ(ヘイ
ズ)が検出スレッショルドに近
づくにつれて、S/N比が低下
する(図2の左)。誤検出を極力
おさえるためには高い欠陥S/
N比(通常3以上)が望ましい。
B
C
Roughness
図1:テストウェーハを使用したプロセス監視ループ
Threshold
Laser scattering signal (ppm)
Laser scattering signal (ppm)
Threshold
Noise
Haze
Haze
Scan position
Scan position
図2:低へイズ値(左)および高へイズ値(右)のウェーハに関するウェーハの表面の粗さ(ヘイズ)と検査感度の関係。
注:ノイズはヘイズに比例する
Surfscan SP2
Surfscan SP1
図3:表面が粗いウェーハの感度の比較。最も微細な欠陥のS/N比が3を超える状態で、左側のSurfscan SP1マ
ップは、大部分が疑似欠陥を示している一方で、右側のSP2マップは、大部分が実際の欠陥を示している
SP1 HT Mode S/N vs. Wafer Haze Level
18
12
18
Low Haze
Medium Haze
High Haze
acceptable
inspection
window
15
S/N Ratio
S/N Ratio
15
SP2 HT Mode S/N vs. Wafer Haze Level
9
6
12
6
3
0
0
0.07
0.08
0.09
0.10
0.11
0.12
0.14
0.13
Defect Size (µm LSE)
0.15
acceptable
inspection
window
9
3
0.06
Low Haze
Medium Haze
High Haze
0.06
0.07
0.08
0.09
0.10
0.11
0.12
0.13
0.14
0.15
Defect Size (µm LSE)
図4:さまざまなサイズの欠陥に関するS/N比とウェーハのヘイズレベルの比較(Surfscan SP1が左、SP2が右)。
許容できる検査ウィンドウは、S/N比が3を超え、欠陥サイズが88nm LSEを下回る
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
ただし、ウェーハ表面の化学
洗浄(リサイクル)を繰り返し
て、テストウェーハの表面の
粗さとヘイズが大きくなるに
つれ、ウェーハの表面で微
細な欠陥を検出するための
S/N比が低下する。ある検査
ツールの結果を別のツールに
適合させるというような製造
現場の検討事項では、検査感
度のスレッショルドを一定の
値に保つことが指示されてい
る。そのため、図2(右)から
わかるように、検出スレッシ
ョルドを大きくすることで、
増加したバックグラウンドノ
イズを抑制することはできな
い。したがって、特定のテス
トウェーハで行うリサイクル
処理の回数は、表面の粗さが
どの程度大きくなるかによっ
て制限される。
ここで必要なのは、微細な
欠陥に対する検査におい
て、表面が粗いウェーハで
もS/N比を高める方法を実現
することである。最新世代
のウェーハの表面検査装置
であるSurfscan SP2は、旧世
代 のSP1よりも微細なスポッ
トサイズを備えている。した
がって、微細な欠陥にスポッ
トのフォーカスを合わせると
き、スポットに含められる背
景が小さくなる。そのため、
Surfscan SP2は、粗いウェーハ
上での感度がSP1よりも向上
している。図3に、複数回リ
サイクルされた高へイズウェ
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
欠陥管理
Recycle rate
from 70% to 85%
In-house chemical clean
$5 / water recycle
Regeneration area
SP2
Inspection
Test
wafers
Pre SP2
Inspection
Grade
A, B, C
PWP < X
Process
Post SP2
Inspection
$75K/month
SP2
Reclaim 2
Reclaim 1
Scrap $300/wfr
New
wafers
Reclaim 3
Re-polish $30 / wfr
20%
10%
5%
A
Grade
図5:ウェーハのリサイクル率の純増加
ーハを、Surfscan SP1検査装置(左)とSP2検査装置(右)でスキャ
ンしたときのそれぞれのマップを示す。SP1のマップでは、
S/N値が低いために疑似欠陥が相当数あることがわかる。ま
た、実際の欠陥を捕捉するように設定された検査スレッショ
ルドは、ヘイズ信号のピークを捕らえている。一方、SP2の
マップでは、その優れた感度によって、ヘイズレベルよりも
かなり高くスキャンスレッショルドを設定できるので、疑似
欠陥が大幅に減っていることがわかる。信号を強化し、ノイ
ズをさらに抑制するSurfscan SP2の機能によって、このタイプ
の検査が可能になり、テストウェーハを再生またはスクラッ
プするまでより長期間にわたってリサイクルできる。
さまざまなヘイズレベルをもつウェーハをもちいてSP1およ
びSP2のS/N比を解析した。この結果を図4に示す。グラフの
左上にあるのが、許容できる「検査ウィンドウ」である(S/
N比が3以上で88nm以上の感度を達成)。High Throughモー
ドでは、SP1ではMediumヘイズ、Highヘイズウェーハでは
88nmの欠陥感度で3:1のS/N比の条件を満たすことができな
い。SP2では、強化された感度とバックグラウンドノイズ抑
制機能により、高ヘイズウェーハの使用時でも高スループ
ットモードで必要な感度を達成できる。
SP2検査装置を配置した。
Surfscan SP1検査で表面粗さが
グレードBカテゴリであった
ウェーハは、現在のSP2検査
ではグレードAカテゴリとな
っている。その結果、同一ウ
ェーハを化学洗浄センターで
洗浄することによりリサイ
クルできる回数が増えた。
ここで実際のリサイクル率
は15%上昇したと見積もられ
た。このリサイクル率の上昇
は、再研磨の必要回数の低減
と対応している(図5)。
B
図4より、Surfscan SP2をもちいれば、表面の粗いウェーハ上
でも十分な感度とS/N比を達成できることがわかった。こ
の結果をうけて、同ファブの施設内再生センターに専用の
2
3
4
5
6
7
8
9
10
11
この改善されたリサイクル率
Roughness
の数字をもちいると、コスト
削減効果を次のように見積
もることができる。月産2万
5千枚の300mmファウンドリ
で、テストウェーハの使用
量が量産レートの3倍の7万
5千枚という前提で、Surfscan SP2の導入により施設内リサイ
クル量が15%改善し最研磨量が15%削減できたとする。この
ケースでは、表1のとおり年間約300万ドルのコスト削減に
つながっている。このモデルは、さまざまなウェーハ投入
数、テストウェーハ使用量などに合わせて調整できる。
12
まとめ
22
さまざまなコスト削減によってウェーハファブの生産性
が向上するが、そのなかでも特にテストウェーハの再利
用回数は、プロセス装置モニタリングのコスト削減に直
接影響を与えている。本論文では、旧世代のツールに代
えてSurfscan SP2検査装置を使用することで、モニタウェー
ハの再利用回数を増やすことができ、これにより新品テ
ストウェーハの調達を削減することで、コストを削減で
きることを明らかにした。また、このコスト削減による
費用効果に加えて、表面が粗い再生ウェーハから生じる
誤った異常検出アラームによる製造中断を抑えることも
できるようになり、そのような意味でもファブの製造現
場の生産性を高めることができることがわかった。
23
13
14
15
16
17
18
19
20
21
24
25
26
27
28
29
30
31
32
33
謝辞
費用効果
1
この論文は、上海(2006年8月)および北京(2006年9月)にお
けるKLA-Tencorイールドマネジメントセミナーで最初に発
表された。
34
35
36
37
ウェーハタイプ
リサイクル
再生
スクラップ
テストウェー
参考文献
38
ウェーハのコスト
$5
$30
$300
ハの総コスト
39
ウェーハ投入量
(合計75,000枚)
52500 → 63750
15,000 → 3,750
7,500
リサイクル率(SP1)
70%
20%
10%
296万ドル
リサイクル率
(SP1+SP2)
85%
5%
10%
268万ドル
1. Lorrie Houston, Motorola; John
Anderson, Motorola; Rhonda Stanley,
KLA-Tencor;
Process tool qualification using
SP1TBI automated overlay feature,
KLA-Tencor Surfscan Applications
Note (2002).
月間コスト削減額
$56,250
$337,500
変化なし
28万ドル
40
41
42
43
44
45
表1:ウェーハリサイクル率の上昇による月間コスト削減額(推定):28万ドル、年間コスト削減額:336万ドル
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
46
計測
1
2
3
45nmノードのプロセスで用途が拡大するウェ
ーハ・レベル計測
Paul MacDonald, Greg Roche, Mark Wiltse -– KLA-Tencor Corporation
4
5
6
7
8
9
10
11
12
製造装置のトラブル・シューティングやモニタ等、多岐にわたるプロセスの最適化にKLA-TencorのIntegral™ 、SensorWafers™を
13
はじめとする計測用ウェーハの用途が広がっている。これらの専用ウェーハには高精度かつ時間系列で計測を行うための計測
14
装置一式が組み込まれており、ダイナミックに変動するプロセス環境に対するウェーハの挙動を調べることができる。
15
16
17
パターン微細化への流れが続いた結果、従来の形式の計測デ
ータに加え、リアルタイムでの装置データを統合する必要性
が出てきた(1)。半導体業界では、プロセス装置との関連にお
いて計測データを、オフライン(ラインから切り離した解析
のための計測)データ、インライン(プロセス直前・直後の
計測のために装置と直結または近傍での計測)データ、およ
びin-situ(プロセス中での計測のために装置内に組込み計測)
に分類・定義している。ITRSのMetrology and Factory Integration分
科会も2007年版改訂のロードマップに「オフライン/インラ
イン/in-situ計測」の分類を記載することになっている(2)。
計測用ウェーハは、空間分布(オフラインデータ)と同時に
リアルタイム情報をプロセス内部から収集する(in-situデー
タ)だけでなく、これらの計測値をプロセス直前直後に読み
込む(インラインデータ)ことができるという点で、上記の
3つのカテゴリ全体を網羅するものとして位置付けられる。次
節では、45nmノードに関連するさまざまなアプリケーション
事例について取り上げ、計測用ウェーハの用途を考察する。
a)
b)
Mean 81.121
Range 47.365
Mean 71.807
Range 33.599
図1:低電力、低温Cuバリヤー成膜:
a) チャンバAの基準温度分布(左)、b) チャンバBの基準温度分布
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
物理気相蒸着(PVD):Cuバリヤー/シード形成における温度分布
のチャンバ間マッチング
半導体工程にCu配線が導入されたことにより、シード・レ
イヤおよびバリヤー形成に細心の注意を払う必要が出てき
た。成膜温度の低下に伴い、ワイヤレス方式のセンサ・ウ
ェーハは、成膜プロセスの特性を評価し、チャンバ間マッ
チングを実現する上での有用な手段となっている。
2つの量産用Cuシード形成チャンバを、様々なRF電力および
チャック温度条件で比較調査した(3)。室温、低温、および超
低温の各カソード温度条件に対し、低電力および高電力条
件を評価した。図1aおよび1bに基準条件(低電力、低温)
を示す。この図から、2つのチャンバ間では熱の均一性と平
均温度に差があることが一見してわかる。チャンバAでは、
ノッチ近くのエッジの非均一性が顕著である。チャンバBで
は、同心円状でほぼ均一なパターンであり、温度レンジが
狭い範囲に収まっている。SensorWaferの実行で得られた温度
の空間分布データを、RF電力およびチャック温度パラメー
タでモデル化し検証を行なった。チャンバ間のマッチング
不良はノッチ付近に局所的に現れており、RF電力供給の非
均一性によるものと判断された。
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
化学気相蒸着(CVD):プラズマ窒化工程
35
このCVD膜の良否は、成膜時のウェーハの温度に大きく依
存する。ウェーハ温度は、ソース電極およびバイアス電極
を通じてウェーハに供給される電力だけでなく、静電チャ
ックや熱プレート内部の温度制御によって左右される。
36
パターンの微細化に伴い、CVDプロセスは低温化してきた。
従来、熱処理CVD電気炉は600∼1000 °C で運用してきた。
プラズマCVD(PECVD)の導入で、ウェーハ温度は250∼
550°Cにまで下がった。その後、45nmノードでの超low-k誘電
体やhigh-kゲート構造の到来により、ウェーハ温度はさらに
下がっている。近年、30∼50°Cの範囲のウェーハ温度を使用
し、超low-kバリヤー層としてPECVD膜が開発された(4)。一部
のhigh-kゲート材料に絶縁やバリヤーなどの特性を付加する
ためにプラズマ窒化が実用化されている(5)。
37
38
39
40
41
42
43
44
45
46
計測
図2に、最大温度40°Cでのプラズマ窒化プロセスの温度特性
を示す。ウェーハがそのピーク温度に達する過程で温度の
均一性と熱挙動を一回のテストで把握できた。図の右側に
示すのは温度の空間(面内)分布である。
2
Temperature ˚C
次に、低温プラズマ窒化プロセスで実験を行い、シーズニン
グ・ウェーハがウェーハの温度分布に対してどう影響するか
を調べた。ここでは、3枚のウェーハを使用したシーズニン
グを1組として各シーズニングの前後にSensorWaferによる計
測を実行した(図3)。シーズニング・ウェーハの9枚目でチ
ャンバは定常温度に達した。興味深いことに、シーズニング
の過程で、ウェーハ面内温度分布が変化した(図4)。
1
3
4
5
6
7
Time (s)
8
図2:常温プラズマ窒化プロセスの温度反応。各トレース線は1つの
温度センサを表している。トレース線が全体的に散在していること
は、空間的な非均一性を示す
9
10
11
化学機械平坦化(CMP)
図5に、Integral SensorWaferによって収集された温度と時間の
追跡データを示す。これらの追跡では、全体の温度、ウェ
ーハ全体にわたる変動、回転効果など興味深い温度データ
特性が見られる。
Temperature profile vs. seasoning wafers
12
6
T-mean
T-range
38
5
4
37
3
36
2
35
34
1
0
5
10
15
Cumulative seasoning wafers
20
13
Temperature range (˚C)
39
Temperature mean (˚C)
化学機械平坦化(CMP)は、ウェーハの表面に研磨パッドを
接触させ、スラリーの物理的研磨作用と化学的研磨作用の両
方を組み合わせて膜の凹凸を取り除くプロセスである。この
CMPプロセスで温度は制御対象になってないが研磨ヘッドの
回転速度、プラテンの回転速度、ヘッドの圧力、スラリー流
量などのいくつかの主要な制御パラメータに依存して温度が
変化する(6)。研磨時、プロセス条件の変化に対するウェーハ
表面温度の挙動観察にSensorWafersを使用した。
6
14
15
16
17
18
19
20
図3: シーズニング過程の温度分布推移
21
22
リソグラフィ時のベーク温度によるCDのチューニング
23
リソグラフィ工程は、SensorWafer計測の最も重要な応用分野
の1つである。線幅の微細化に伴い、リソグラフィのプロセ
スは温度変動に対して敏感になっており、リソグラフィ・
セル内のプロセス制御と装置ハードウェア間のマッチング
への負担が重くなっている。たとえば、SensorWaferは、ベー
ク・プレートの温度調整に頻繁に使用される。温度の空間分
布(プレート面内分布)と時間変動(温度変化率)、さら
にプレート間の温度マッチングに活躍する。計測された温
度分布データはリソグラフィ装置に保存される。これに基
づき、SensorWaferを使用して定期PMや異常発生時に装置状
態のモニタを行なうのである。
24
本研究では、SensorWafer出力を使用してCD制御を行なっ
た。すなわち、露光後ウェーハのCDは、フォトレジスト
のベーク・プレートの温度分布を調整することで最適化し
た。温度分布の調整は以下の3段階で行う。
1) 基準となる温度データを収集する。
2) 最適制御入力を計算し、ベーク・プレートの各ゾー
ンの温度を調整する。
3) 温度性能を検証する(7)。
この例では、48nm線幅のプロセスにおいて7つの温度ゾーン
を持つPEBプレートの温度を最適化してCD制御を行なうもの
である。専用ソフトウェア(AutoCD™)を使用して各ゾー
ン温度の制御入力を計算し、1回の補正を行った。図6に、プ
レート温度調整前および調整後のウェーハCD分布の結果を
示す。調整の結果、CDのバラつきの範囲は22%改善した。
25
26
27
28
図4:シーズニング前(左)とウェーハ9枚によるシーズニング後
(右)の面内温度分布阿
29
30
31
Global temperature rise during polishing
Across-wafer variation by radial zone
Rotation effects
Edge variation due to rotation is much greater than the center
32
33
34
35
36
20C
37
4C
38
2C /1sec
39
40
41
42
43
図5:CMP特性評価テストにおけるIntegral™ ウェーハを使用した温度
の時間変動データ
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
計測
CD
–50.97
– 49.50
– 48.02
– 46.65
Pre CD
Validation
Mean: 48.56 nm
Normalized 3σ: 1
Mean: 48.83 nm
Normalized 3σ: 0.78
図6:プレート温度分布の補正前(左)および補正後(右)のウェー
ハCD分布
プラズマ・エッチング
1
プラズマ・エッチングはSensorWafersの最も重要な応用分野
の1つである。プラズマ・エッチング・プロセスは非常に複
雑である。ウェーハのプロセス結果は、プロセス条件だけ
でなく、エッチングされるデバイスの材料とパターン形状
にも大きく依存する (8)。それに加え、エッチャのチャンバ
内の状態も変動する。チャンバ表面は物理的な接触や化学
物質への暴露により変化する。エッチング結果は通常、温
度に大きく依存するので多くの場合、温度がウェーハ表面
のプラズマ状態の指標として適している。通常、市販の反
応炉ではソース電極とバイアス電極に対して電力を供給す
る。以下は、エッチング工程におけるSensorWafersの基本的
な事例を4つ示す。
2
プラズマ・エッチング例1:温度によるチャンバの定期点検後の診断
Chamber
Deviation
エッチャのプロセス・チャンバでは、一貫したデバイス性
能を実現するために頻繁に定期点検(PM)作業を行う必要
がある。SensorWafersには、チャンバの健康状態を診断する
ためのポータブルで強力なプラットフォームとなる。
Recovered
Chamber
20T - Range [All]
11.55
10.13
UCL
8.70
7.27
Nominal
5.84
16141210 8 6 4 2 0
4.41
Time Units
図7:SensorWafer計測による温度のS管理図と面内温度分布の詳細
Lower
electrode
RF power
increase
5.00E-02
2.50E-02
0.00E+02
Edge He
cooling
-2.50E-02
Difference
5.00E-01
7.00E-01
˚C / T
Model B
˚C / T
Model A
-5.00E-01
Model A
Model B
Center He
cooling
-3.00E-01
Difference
˚C / T
-2.00E-00
1.70E+00
3.00E+01
˚C / ˚C
Difference
˚C / ˚C
Lower
electrode
temp
7.00E-00
-7.00E-00
Chamber
pressure
1.00E+01
5.00E+02
-2.00E-00
Model A
Model B
-1.00E-01
Difference
図8:ゴールデン・チャンバと被検査チャンバの比較。PlasmaRxソフ
トウェアを使用して各プラズマ反応炉の特性を評価した。空間分布解
析エンジンを実行した結果、下部電極温度が、チャンバ間差の原因で
あることが判明した
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
プロセス変数とその反応 - 要約
プロセス変数
数値上昇に対する反応
33
下部電極のRF電力の増加
ウェーハ全体への熱量増大
34
ウェーハ・エッジ部のヘリウム冷却
ウェーハのエッジ部における熱量低下
ウェーハ中心部のヘリウム冷却
ウェーハの中心部における熱量低下
下部電極の温度
ウェーハ全体の熱量増大
チャンバ圧力
放射効果
Difference
˚C / mt
Model B
˚C / mt
Model A
-5.00E-00
Model B
クリティカルなエッチング工程においてはエッチャのチャン
バ間マッチングは、今後も難しい技術課題であり続ける。パ
ターンの微細化とアスペクト比の増大により、微妙なチャン
バ間差を特定し、これを補正しなければ、望まれるレベルの
性能を達成できない。歩留まりを制限する変動の原因を特定
するために2つのチャンバを比較した(9)。基準となるゴールデ
ン・チャンバと被検査チャンバの調整パラメータの特性を評
価した(図8)。マッチング調整に使用可能な各調整パラメ
ータがどんな効き方をするのかを、SensorWaferデータと高性
能解析ソフトウェアを組み合わせて評価したものである。
3.00E+01
˚C / T
0.00E+00
Model A
プラズマ・エッチング例2:温度に基づくチャンバ間マッチング
Difference
˚C / W
PM2
Problem
chamber
˚C / W
PM1
Golden
chamber
図7に、洗浄サイクル時のチャンバの健康状態を表したもの
を示す。チャンバの稼働状況を把握するために、温度範囲
(最高温度と最低温度の差)を定期的に測定した。温度範
囲が上部管理限界(UCL)を超えた場合にPMを実施した。
UCLを超えたチャンバ異常の面内分布を調べた結果、異常部
位はウェーハのエッジ部で見つかった。PM終了後、チャン
バ性能を検証し、チャンバを量産ラインに戻した。
3
表1:プラズマ・エッチングの制御変数と対応する温度反応(SensorWaferで
計測)
両チャンバの各プロセス変数は、直感的に予想された通りの
反応を示した(表1)。マッチング不良の原因を特定するた
めに、各項目に形状比較アルゴリズムを適用し、その結果チ
ャンバ間差の迅速な修復を実現する調整パラメータとして下
部電極の温度が選ばれた。
35
36
37
38
39
40
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
計測
プラズマ・エッチング例3:温度による問題のトラブル・シューテ
ィング
インライン欠陥検査マップによって、自己調整コンタクト
(SAC)エッチング工程において特定のダイで許容不能な
局所的性能低下が明らかになった (10)。SensorWafer(PlasmaTemp™)で装置状態を調べたところ、ウェーハ中心部で温
度が約3˚C高くなっていることが示された。面内温度分布の
マップをレビューした結果、局所的に顕著な異常が認めら
れた (図9)。温度異常の発生箇所はリフト・ピンの位置に
一致していたため、リフト・ピンを調べた結果、その降下
Hot spot
-11.0
-7.0
-3.0
-0.0
-11.0
-7.2
-3.6
-0.0
Faulty
Normal
図9:正規化された温度分布。リフト・ピンが原因のホットスポッ
ト不良(左図)、不良解消後(右図)
RF Voltage (V)
PlasmaVolt Data
6000
4000
2000
0
850
900
950
Time (s)
1000
1050
Reported Chamber Vpp
Temperature (C˚)
80
60
40
150
200
250
300
Time (s)
Chamber D
Chamber E
“bad” CD
2900
RF Voltage (V)
RF Voltage (V)
Nominal CD
2900
2800
2700
2600
2500
2400
460 480
7
温度はプラズマ・エッチングの特性評価の指標として有効
であるが、一部のプラズマ・エッチング環境においてはこ
れだけでは不十分である。この事例では、ウェーハ表面の
電圧を測定する(PlasmaVolt™)ことでより有益な診断情報
が得られることを示す。
500 520
2600
2500
2400
300 320
Time (s)
340 360
380 400
Time (s)
図11:2つのプラズマ・エッチング・チャンバのPlasmaVolt追跡デ
ータ、それぞれ正常および規格外CDを示す
4
5
6
8
9
10
11
12
高感度の電気計測により、温度に連動しないような微妙な
プロセス変動の特性評価を適切に行えるようになる。この
量産工場の例では、チャンバE固有の問題として発生したエ
ッチングCD異常の診断に電気計測用SensorWaferが採用され
た(11)。既存の試験手法では2つのチャンバの機能差を識別す
ることはできなかったのである。問題チャンバEと優良チャ
ンバDから得られたデータ追跡情報を比較した結果、SensorWafer電気計測によってエッチング時の不安定性が示された
(図11)。これは電圧測定なので、この不安定性はRF電力
供給システムが原因であると考えるのが妥当である。問題
はすぐに、電力供給ケーブルの不良であると判明した。ケ
ーブル交換後、ウェーハの追跡計測を実行し、CD値は正常
値に戻った。
18
14
15
16
17
19
20
21
22
23
24
25
26
27
28
29
まって、in-situウェーハ・レベル計測に対する需要は
ますます高まる。
• プロセス温度の低下および熱バジェットの縮小によ
り、ワイヤレス式のSensorWafersがより望ましい形態と
なってきた。
補完する。
2700
3
13
• 電気計測は、プラズマ・システムの温度管理を強力に
2800
2
図10は、エッチャによって測定されたVpp電圧低下と、同時
に計測されたPlasmaVoltによっても電圧低下の計測結果であ
る。このとき、温度計測用ウェーハはこの間の温度が定常
状態で安定していることが示された。これはプロセスに熱
的慣性があった上、電力が小さかったために逆向きの熱束
が発生し、結果的に熱平衡が保たれたからである。
• プロセス・ウィンドウの縮小は、製造容易性問題と相
図10:エッチャのチャンバ時間で同期したデータ。PlasmaVoltデー
タ(上)、バイアス電力供給システムから報告されたVpp電圧デー
タ(中)、SensorWafer温度データ(下)
420 440
プラズマ・エッチング例4:SensorWafer電気計測によるプラズマ・プロ
セス監視
これまで、in-situのウェーハ・レベル計測の例をいくつか考
察してきた。KLA-TencorのSensorWafersをはじめとする計測
用シリコン・ウェーハは、半導体プロセス内部で何が起き
ているかの理解を深める上で極めて有効なツールである。
これまで述べてきた主要な動向を以下に整理しておく:
100
100
1
結論
Temperature Data
50
量の設定が不適切であったことが判明した。プローバの試
験データを解析した結果からもSACのアンダー・エッチング
はリフト・ピン上部のダイでのみ発生していることが確認
された。ハードウェア問題を解決し、その結果得られた温
度分布が基準温度分布と一致したことから不具合が解消さ
れたことが確認された。量産再開後のプローバ試験でもこ
のことが裏付けられた。
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
計測
SensorWafers
参考文献
ワイヤレス計測用ウェーハ( SensorWafer と呼ばれる)
は、標準的なウェーハ搬送ロボットで搬送できるという利
点がある。SensorWafersは搭載されている電子回路の関係
で仕様可能な環境温度が制約される(通常、持続温度で約
140°C)。近年、半導体ロードマップのノードごとにプロセ
ス温度は低下し、熱バジェットは縮小している。このこと
が、ワイヤレス計測の利用を加速している。大部分のSensorWafersは温度を測定するものであるが、最近は電圧を測定す
るものも出てきている。
1. International Technology Roadmap Semiconductors 2006 Update, Lithography. http://www.itrs.net/Links/2006Update/FinalToPost/08_Lithography2006Update.pdf.
2. M. Janakiram, ITRS Factory Integration Presentation, Presentation to
Create, Arizona State University, January 2007, http://create.asu.edu/calendar2/pdfs/ITRS_Factory%20Facilities_Jan2007.pdf.
3. P. MacDonald, In situ thermal measurements for Cu barrier seed deposition, OnWafer Technologies, Inc., 2005.
4. L. Zambov, K. Weidner, V. Shamamian, R. Camilletti, U. Pernisz, M.
Loboda, G. Cerny, D. Gidley, H Peng, R. Vallery, Advanced chemical vapor
deposition silicon carbide barrier layer technology for ultralow permeability
applications, JVST A Vol 24(5) September 2006 pp. 1706–1713.
5. A. Callegari, P. Jamison, D. Deumayer, F. McFeely, J. Shepard, W. Andreoni, A.Curioni, C. Pignedoli, Electron Mobility dependence on annealing
temperature of W/HfO2 gate stacks: the role of interfacial layer, Journal of
Applied Physics, Volume 99, 2006.
6. H. Hocheng and Y.L. Huang, In situ endpoint detection by pad temperature in chemical mechanical polish of copper overlay, IEEE Transactions on
Semiconductor Manufacturing, Vol 17, No 2 May 2004 pp. 180–187.
7. S. Wang, P. MacDonald, M. Kruger, C. Spanos, M. Welch, CD uniformity
improvement and IC process monitoring by wireless
sensor technology, IEEE 2004.
上の画像は、10µmのポリイミドでコーティングされた
Integral™ウェーハを示す。ポリイミドは可視光に対して透
明なので、電子回路や温度センサの位置の詳細を確認でき
る。すべてのセンサおよび電子制御系はウェーハの表面の
下に配置されている。この温度計測用SensorWaferには他に
もいくつかの種類があり、たとえば、シリコン、シリコン
酸化膜、その他のユーザ指定のコーティング材料でも形成
可能である。これらの計測用ウェーハはウエット・プロセ
ス、CMP、リソグラフィ、低温CVD、PVD、プラズマ・エ
ッチングなどの用途に応じて使い分けることが出来る。
次の画像は300mmのPlasmaVolt™ ウェーハである。表面に電
気センサと計測回路が見える。ウェーハ表面から出ているセ
ンサおよび電子回路の段差は最大で3.4 mmである。この程度
の段差なら、ほとんどの量産用300mm真空プロセス装置に
標準の搬送ロボットで載せることが可能である。ウェーハ
全体は、化学的にフォトレジストに似たポリイミドで覆わ
れている。これらのタイプのSensorWaferは基本的に、プラズ
マ・エッチングでの使用に適している。
8. I. Husala, K. Enke, H. Grunwald, G. Lorenz, H. Stoll, In situ silicon wafer
temperature measurements during RF Ar-ion plasma etching via flouroptic
thermometry, J. Phys D Applied Physics 20 (1987) pp. 889–896.
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
9. P. MacDonald and M. Kruger Component health monitoring and diagnostics in plasma Etch Chambers using in-situ temperature metrology, SEMI®
Technical Symposium: Innovations in Semiconductor Manufacturing (STS:
ISM) 2004.
21
10. Brown, T. Schrock, K. Poolla, M. Welch, P. MacDonald Rapid diagnostics
of etch processes in high-volume production using temperature metrology,
Semiconductor Manufacturing. Volume 4(10), pp.140–156, October 2003.
24
11. G. Roche, P. Arleo, P. MacDonald, Wafer based diagnostics for dielectric etching plasmas, Northern California AVS, Meeting of Plasma Etch Users
Group, May 2007, http://www.avsusergroups.org/peug_pdfs/PEUG_07_5_
Roche.pdf.
26
22
23
25
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
計測
1
2
3
45nmへ向けた分光エリプソメトリ膜厚測定
Arun R. Srivatsa -– KLA-Tencor Corporation
4
5
6
7
8
9
10
11
12
分光エリプソメトリ(SE)は、近年の半導体工場において、薄膜を生産ラインで管理する上で不可欠で重要なテクノロジであ
13
る。今回、スペクトルの安定性向上、短波長の使用、その他多くの改善によって、SEテクノロジは膜厚および屈折率の測定
14
のほかに、65nmおよび45nmノードでの窒化酸化膜、ボロンでドーピングされたシリコンゲルマニウム(SiGe:B)、high-k材料な
どの、多くの膜の組成の測定も可能としたので、それを紹介する。
tr
Si
2
SO
HfO
S
I
e
iG
SiOC
y
ol
TiN
Al
2
Ru
2 U
l
ed
er
C-Si
O
er ALD-Seed Elect
ar r i
r ol
es s
D-B
AL
Cu
nated Material
i
-K
m
E
La
En
ow
gi
ne
Pd
fO2 BS
H
+
O3
T
Al 2
Ni
O3
P
N4
3
r ia l
at e
dM
re
ee
in
rial
ate
ng
M
Co
Ti
aL
65nmや45nmノードに向けて新しい材料や構造が出現する
中、薄膜の測定技術に対する要求がますます複雑さを増し、
測定バジェット(許容値)は厳しくなっている。いくつかの主
要プロセスでは、もはや膜厚と屈折率のモニタリングだけで
はプロセス管理に不十分で、組成、多孔率その他のパラメー
タを測定、あるいは推察しなければならない。これらのパラ
メータで光学特性が体系的にばらつくことを利用し、分光エ
リプソメトリ(SE:Spectroscopic Ellipsometry)の応用分野におい
て達成された最近の技術的進歩によって、High-kゲート絶縁
膜、窒化ゲート酸化膜、BドープSiGeなど多様な材料の組成
監視のために、SEは研究開発や生産環境にうまく採用されて
きた。新しい材料や複雑な構造を取り扱うにあたって、プロ
G
PS
S iO
2
Ta
/Ta
N
Si O
Capacitor
y
xN
SG
ix
BP
P
W
Al
Interconnect
WS
y
ly
Po
Transistor
xN
y
2
ol
A
N
SiO
iS
i
O2
Isolation
Si
x
Si
N
x
SiO
Hf
SiO
Cu
2
PHD
W
W
y
L
2O
3
Si-Epi
O
O
x
Substrate
TiN
Ti
T
図1:これまでの技術ノードに比べ早いペースで、非常に複雑な多
くの新規材料が導入されている
セス管理に関する重要な課題や要求があり、光学的薄膜測定
技術を使った新しいアプリケーションデータや将来性のある
ソリューションが検討されていくだろう。
15
16
17
18
19
20
21
複数の分野における課題
22
65nmや45nmノードでは薄膜の測定技術は複雑になり、よ
り精度が求められるようになるだろうという見解にはほと
んど異論がない。その傾向は、しだいに厳しくなるプロセ
スウィンドウと測定許容値(一般的な経験から言えば、トー
タル膜厚の測定バジェットはプロセスバジェットの10%以
下)と共に、他の二つの要因によって促進される。つまり、
フロントエンドとバックエンドのどちらにおいても多くの
新材料や革新的な構造が導入されることと、モニターウェ
ーハ膜厚を生産ウェーハの代わりに測定するやり方から生
産ウェーハ膜厚の測定に変更されること、である(1-5)。
23
フロントエンドでは、多くの新材料導入によって測定やプ
ロセス管理上の新たな課題が生み出される。まず、Si基板か
らSOI(Silicon On Insulator)基板へという緩やかな移行である。
これらの課題は新たな要求を生み出す。SOI基板では、薄膜
表面Si層と埋め込み酸化膜の膜厚と均一性のモニタリングが
必要である。また、SOI基板を使用すると、ゲート絶縁膜と
多層構造の測定が非常に困難になる。表面Si膜はHeNe波長
(633nm)で透明なので、複数パラメータ測定(ゲート酸化膜、
表面Si膜、埋め込み酸化膜を同時に測定)となり、これは標
準的な固定アングルの単一波長エリプソメータ(SWE:Single
Wavelength Ellipsometry)では測定不可能である。
Siチャネルに歪みを導入するため複数の方法が試みられてい
る。例えば、圧縮しながらチャネルに圧力をかけるためBド
ープBSIG(Ge、B、そしてBドープSiGeの膜厚を監視する必要
がある)をソース/ドレイン領域に使用したり、チャネルに引
張応力や圧縮応力をもたらすため高圧をかけた窒化層(応力
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
計測
監視)を使用したりするのである。プロセス管理の要求や方
法は使用するパスによって異なる。ゲート酸化絶縁膜はよ
り薄膜化し、より高濃度に窒化されるので、膜厚と酸化膜
中の窒素濃度のどちらも管理する必要性がでてくる(図1)。
ス管理にin-die測定が必要である(6)。通常、生産ウェーハ測定
はスクラブレーンの大きなパッド上で行われる。微細化が進
むと、多くのクリティカルなプロセスが影響を受ける。例え
ば、シャロートレンチアイソレーション(STI:Shallow Trench
Isolation)では、スクラブレーンのパッド上におけるCMP率と
ダイ中のCMP率の相関関係が著しく乏しい。STIのプロセス
管理には酸化膜と窒化膜積層のin-die測定が必要である。
High-kゲート絶縁膜は45nmノードで採用されることが最有
力視されている。候補に挙がっているHfSiOxNyのような材料
は、効果的なプロセス管理のために複数の要素/組成を同時
に監視する必要がある。より多くの変数を監視する難しさに
加え、これらパラメータからの誤差幅が全体的な測定許容値
に食い込んでくる可能性があるので、各変数の許容値は一
般的に厳しくなる。High-k膜の計測に関連したさらなる課題
は、High-k絶縁膜とSi膜の間にあるメタルゲート電極と界面
層の監視である。フロントエンドプロセスでは、キャパシタ
用に2層(バイレイヤー)構造とナノラミネートベースのHigh-k材
料積層構造も導入されている。
1
2
3
4
5
6
7
膜厚計測問題の解決
8
多くはSEをベースとした光学薄膜計測が、ファブ全体を通
してプロセス管理に広く使われている。SEは、モニターウ
ェーハ、生産ウェーハのどちらの測定にも使われる、高速
の非破壊法である。SE法は2つの主要な要素から成る。つま
り、膜からの情報を抽出する高いスペクトル忠実度を持っ
たハードウェアと、スペクトル情報とアルゴリズムツール
を使って実行可能なソリューションを作り出すアプリケー
ション技能である。両分野における最近の進歩によって、
研究開発と生産環境のどちらにおいても複雑な膜の組成が
監視できるアプリケーションのような、実行可能なSEベー
スのソリューションが生み出された。
フロントエンドプロセスでは多くの問題が起きているが、
バックエンドプロセスでもLow-k材料とCuが重大な問題をも
たらしている。Low-kのCドープ酸化膜(CDO:Carbon-Doped
Oxide)を関連するバリア層やエッチストップ層と共に使用す
ると、より複雑な積層構造でより厳しい測定管理が要求され
る。そして、多孔質Low-k絶縁膜は複雑さを増す。なぜなら、
現在のところは孔のサイズや分布は生産監視に不必要なパラ
メータに思われるかもしれないが、多孔性と誘電率の一方あ
るいは両方の推測は生産管理に必要なものだからである。
ハードウェアの主な技術向上とは、スペクトルの安定性を
高めることにつながった光学技術の進歩や、SEをDUV波長
(∼150nm)まで延命したことなどがある。これら2つを組み合
わせると重要な要素になる。なぜなら、DUV波長への延命
は、これらの波長でよりすぐれた吸収率を持つ薄い絶縁膜
からのより多い情報抽出を可能とし、スペクトルの安定性
は解像度を上げて測定誤差幅を最小化し、ますます厳しく
なる要求を満たすのに役立つからだ。
生産ウェーハを検査するという傾向は、特に300mmのモニタ
ーウェーハをなくしたいという願望が背景にあることが大き
い。ダイのばらつきとスクラブレーンにおけるより大きい形
状ばらつきの相関関係が乏しいので、場合によってはプロセ
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
Residual spectral errors
29
30
SpectraFx
0.03
Error-SE1
0.02
0.02
Error-SE2
0.01
0.01
SEα
SEα
ASET-F5x
0.03
0.00
-0.01
-0.01
-0.02
-0.02
300
400
500
600
700
Error-SE3
32
Error-SE4
33
Error-SE5
0.00
31
34
35
300
400
500
600
700
36
37
0.02
0.02
38
0.01
SEβ
SEβ
39
0.00
0.00
-0.02
-0.01
40
-0.02
41
-0.03
-0.04
42
-0.04
300
400
500
600
700
300
400
500
600
700
43
44
図2:スペクトル残差はすべての波長でゼロに近く、残差の「痕跡」は最新のSEシステムで再現性がある
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
計測
スペクトル安定性は、薄い酸化膜からスペクトル誤差(測定
スペクトルと理論スペクトルの差)を評価することによって
容易に判断できる。我々の装置を用いた例で示している通
り2つの世代のSEシステム(ASET-F5xとSpectraFx)のスペクトル
品質を調査した(図2)。新しいSpectraFxの残差は、すべての波
長でかなり小さく、ゼロに近いようだ。これら生産装置上
での誤差の大きさは、同様のテストを使って研究レベルの
システムから得られた誤差と同等であることが分かった。
同じように重要なのは、この最新のSE装置に見られる、残
りの小さい残差の「痕跡」はどの装置でも事実上同じよう
だ。スペクトルの観点からいうと、測定ハードウェアは本
質的に合致する。高いスペクトルの安定性とシステム間ばら
つきの少なさは、最も難しい膜アプリケーションに対して求
められる極めて厳しい要求を満たすためのカギである。
SE vs XPS
%SiO2 in HfSiOx
a)
%SiO2 (SE)
50%SiO2
y = 0.9881x + 1.0923
R2 = 0.9954
%SiO2 (XPS)
%SiO2
%SiO2 (SE)
b)
25%SiO2
y = 0.8012x + 11.828
R2 = 0.9096
%SiO2 (XPS)
%N (SE)
%N
8%N
y = 1.1088x + 1.0751
R2 = 0.9676
%N (XPS)
図3:(a) SEを使ったHfSiOx膜の組成監視と、(b) SEを使ったHfSiOx膜
中の2組成同時確認
薄いゲート絶縁膜の光学的監視と言えば、浮遊分子吸着汚
染(AMC:Airborne Molecular Contamination)の問題は避けて
通れない。詳細な議論も行われている。AMCに対応するた
め、エリプソメトリ技術と脱着装置(desorber)を使って、膜
厚と薄いSiONゲート絶縁膜中のN濃度を監視しようと、生
産実績のある実行可能なソリューションが作成された。こ
のソリューションでは、実験計画法(DoE:Design of Experiments)のあらゆる地点で、測定SEパラメータとN濃度のベー
スラインデータ間によい相関関係が繰り返し示された。現
在、この光学ソリューションタイプは世界中のいくつかの
ファブでうまく実行されている(7)。
1
2
3
4
5
6
7
8
9
10
High-kゲート膜の光学的計測
11
候補に挙がっている材料はほとんどがHfベースの酸化物あ
るいはケイ酸塩で、HfO2、HfSiOx、HfSiOxNyなどがある。こ
れらの材料と共に、通常、20∼40ÅのHigh-k絶縁膜とSi膜の
間に膜厚5∼10Åの界面層がある。この界面層はバルクHighk材料より誘電率が低い。通常のプロセス管理方法は、
High-k絶縁膜とSi間の界面層を電気的に監視することに加
え、膜厚とバルクHigh-k絶縁膜の組成監視に頼っている。
これらのHigh-k材料の光学的特性は組成によって体系的に
ばらつく。特に150nmまでのDUVという短波長では吸収率
が増加するため、これらの材料に対して感度が上がる。こ
の情報を使い、ハードウェア、アルゴリズム、アプリケー
ション方法における最近の進歩を利用することで、SEは2つ
の組成パラメータを同時に監視することができる。
12
開発ファブで行われたHigh-k膜組成の光学的測定の例とHfSiOx
DoEの結果を図3に示す。この例では、SEはHfSiOx膜中のSiO2濃
度をマッピングし出力するために使われた。HfSiO x膜中の
50%近いSiO2濃度のばらつきという広範囲な組成が、複数の
ウェーハを使ったDoEの各地点でサンプリングされた。X線
光電子分光装置(XPS:X-ray photoelectron spectroscopy)は参考
技術として使用された。XPSとSEの両方を使って、DoEに基
づき、各ウェーハ全面(中心からエッジまで)21カ所の測定が
行われた。光学モデルを作成するため150nmまでのDUV波
長が使われた。その結果、組成についてのSE出力と、DoE各
地点でのXPSベースラインデータとDoEでの各ウェーハ内
XPSベースラインデータの間に強い相関関係が見られた。
HfSiOxNy膜については、膜中のSiO2濃度とN濃度の両方を同
時に計算するため、最近開発されたアルゴリズムモデルが
使われた。そして、DoEの広範囲な組成とともに変わる各ウ
ェーハ内の組成ばらつきを追跡する能力を確認するため、
HfSiOx膜同様、DoEに基づいて各ウェーハ面内21カ所が測定
された。ここでも、DoEでサンプリングされた広範囲な組成
でのベースラインデータとよい相関関係が見られる。
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
2層(バイレイヤー)構造の監視
High-k材料と同じく、SiGeの光学特性はGe濃度の増加によ
って体系的なばらつきがある。高濃度Bドープは光学特性に
二次的な影響を与える。単層のBドープSiGeと2層のSiキャッ
プ/BドープSiGe/Si構造の両方を同じレシピで測定するため、
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
計測
比較的一定のB濃度(いくらかばらつきあり)のDoEを使い、
Ge濃度に体系的なばらつきをもたせて、SEベースの光学ソ
リューションが作成された。BドープSiGe層中のGe濃度に加
え、BノードSiGe層とSiキャップ層の膜厚が同時に測定され
た。ここではX線回折(XRD:X-ray diffraction)と二次イオン質
量分析法(SIMS:Secondary Ion Mass Spectrometry)がベースライ
ン技術として使用された。先に述べた他のアプリケーショ
ン同様、Ge濃度の光学的測定とベースライン技術間の優れ
た相関関係が達成された。
に示す。このBEOLにおける6層Low-k膜の測定では、もたら
された変化を正確に予想する測定の信頼性を評価するため、
単一レシピ、7枚のウェーハでDoEが実行された。合計16個
のパラメータが同時に測定された。つまり、膜厚とトップ酸
化膜(膜厚のみ測定)を除くすべての層のnとkである。単一レ
シピを使って、この7枚のウェーハのDoEに同時にもたらさ
れた様々な変化が正確に予想できるようだ。異なる色で囲ま
れたところはミッシングレイヤー、二重蒸着層、半蒸着層、
膜厚にランダムなばらつきを持つ層を示す。
生産環境で複数のパラメータを同時に追跡調査する能力は
図4の結果に見られる。BドープSiGeとSiキャップ膜厚はお
およそ似ているがGe濃度は異なる4枚のウェーハを使った
DoEの結果がプロットされる。標準的な9カ所Prometrixパタ
ーンを使って、ウェーハの中心からエッジまでの測定が行
われた。BドープSiGe層の名目膜厚は1000A以上で薄いSiキャ
ップ層を持つ。9ヶ所のパターン内では、異なるGe濃度で、
リアクタの特徴がBドープSiGeとSiキャップの各膜厚で再現
される。また、生産環境における3装置からのデータは、異
なるパラメータに対する結果がよく合致することを示して
いる。前述のスペクトル忠実度のお陰で、装置間ばらつき
の低減が可能である。
300mmウェーハでは、モニターウェーハから生産ウェーハ
での測定へその比率を高めている。モニターウェーハ上で
は、シンプルな計測と個々の膜やプロセス監視が容易であ
る。生産ウェーハでは多層スタックの中の同じ膜やプロセ
スを監視することが要求される。測定はより複雑になるが
個々の膜やプロセスに対する計測要求は変わらない。なぜ
なら、より多くのパラメータが積層中で同時に測定されな
ければならないからだ。スペクトル安定性と装置間スペク
3
4
5
6
7
8
9
10
11
12
13
14
15
17
Multiple parameter tracking
超薄膜ONO積層測定技術
18
19
20
wfr4
21
wfr3
%Ge
10%range
wfr2
Tool A
wfr1
22
23
24
Tool B
Tool C
25
26
Si-cap thickness in Si-cap/SiGe:B/Si
27
Nom + 40Å
wfr3
wfr4
Nom
Tool A
Tool B
Si-cap thickness (Å)
wfr1
28
wfr2
Nom - 40Å
29
30
31
32
33
Tool C
34
35
SiGe:B thickness in Si-cap/SiGe:B/Si
36
Nom + 150Å
wfr1
wfr2
wfr3
wfr4
Nom
Tool A
Tool B
Nom - 150Å
SiGe:B thickness (Å)
190SEシステムと150SEシステムが持つプロセス変化を正確
に追跡する能力についてモニターされた。両システムは、
高精度で窒化膜の膜厚を追跡する。190SEシステムは、窒
化膜厚50Åまでトップとボトムの酸化膜厚に対して均一な
反応を示すが、それ以下の窒化膜厚になると酸化膜間のず
れや相関を示し始める。150SEシステムは、デザインルー
ルを通じ、DoE全体に渡って、トップとボトムの酸化膜厚
に対し均一の反応を示す。よって、50Å以下の窒化膜を持
つ薄いONO積層のプロセス監視には150SEシステムが推奨
される。
2
16
%Ge in the SiGe:B layer in Si-cap/SiGe:B/Si
薄い酸化物/窒素/酸化物(ONO)膜の積層はDRAMとフラッシ
ュメモリー積層のどちらにも使われる。90nmノードではフ
ローティングゲートフラッシュのターゲットN膜厚は50Å以
下である(65nmでは30Åまで縮小するかもしれない)。これは
トップとボトムの酸化膜間で極めて高い相関関係が要求さ
れるので難しい測定となる。この相関の程度は2つの酸化膜
を分ける窒化層の膜厚によって決定される。なぜなら、窒
化層が薄膜化するにつれて相関が著しく強まるからだ。窒
化膜は短波長で吸収率が上がるので、短波長を使うことに
よってトップとボトムの酸化膜間のコントラストが増加す
る。これらの測定を可能にするため、SE技術は、50Åの窒化
膜を持つONO積層向けDUV波長(190nm)まで、そして30Åま
で薄膜化された窒化膜を持つ真空紫外(VUV、150nm)にも対
応できるように延命されなければならない。
1
Tool C
37
38
39
40
41
42
多層、複数パラメータの測定
優れたスペクトルの安定性と信頼性のあるアルゴリズムを持
つ最新のシステムを使って達成された測定タイプの例を表1
図4:SE装置を使った、DoEに基づく、異なるGe濃度でのSiキャッ
プ膜厚とBドープSiGe層の膜厚と組成。生産環境におけるツール間
の良好なマッチングが特に重要である
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
計測
トルばらつきの減少が多層膜ではより重要になる。6層スタ
ックにおける複数パラメータの測定例は、この技術力が進
化したことを示している。しかし、典型的な生産環境では
これほど多くのパラメータを同時に測定することはないと
いうことを言及しておかなければならない。
将来に向けたSE
SEは今日のファブにおいて膜厚の生産モニタリングのため
に選ばれた技術である。スペクトル安定性のさらなる向
上、より短波長に向けたSEの延命、ハードウェア、アルゴ
リズム、アプリケーション能力の向上によって、SE技術を
使った、超薄膜から厚膜までの組成といった、追加的パ
ラメータを計測することが可能となり、これは、65nmや
45nmノードに向けて複雑化する測定要求を満たす可能性
を秘めている。現在、SEベースの光学膜厚測定技術は、窒
化酸化膜(ONO)やBドープSiGeを含むいくつかの複雑なプロ
セスとHigh-k材料の開発において組成をモニタリングする
ために採用されている。複数の分野における最近の技術的
進歩が、生産ウェーハでの測定と複数パラメータ、多層測
定への移行を加速させている。これらの進歩が継続される
と、SEベースの膜厚測定技術は45nm以降の生産における測
定でも主要な技術であり続けるかもしれない。
謝辞
1
著者は、きめ細かい技術的議論に加え、文中の数値や図
表などを提供してくれた、同僚であるKLA-Tencor社の
Arun Chatterjee氏、Torsten Kaack氏、Zhengquan Tan氏、Sungchul Yoo氏、Shankar Krishnan氏、そして、STMicroelectronics社
のSimona Spadoni氏、Rosella Piage氏、Davide Lodi氏に感謝の意
を表する。
2
注:この記事は、『Semiconductor International magazine』2006年12月号に発表さ
れたものである。
7
3
4
5
6
8
9
参考文献
10
1. International Technology Roadmap for Semiconductors,
http://www.itrs.net.
11
2. Y.-C Yeo, Q. Lu, T.-J King, C. Hu, T. Kawashima, M. Oishi,
S. Mashiro and J. Sakai, Proc of the International Electron Devices Meeting
(IEDM), p. 753, 2000.
12
13
14
3. H. van Meer and Kristin De Meyer, 2002 Symp. on VLSI
Technology, Digest of Technical Papers, p. 170 2002.
15
4. H.S.P. Wong, IBM Journal of Research and Development, V46, N2/3,
2002.
5. David Lammers, EE Times, 4/4/2005.
http://www.eetimes.com/showArticle.jhtml?articleID=160401538.
16
17
18
6. Arun R. Srivatsa, Yield Management Solutions, Winter 2005, p. 22.
19
7. Sungchul Yoo, Zhiming Jiang, Eric Wang and Zhengquan Tan,
YMS Seminar, Semicon West, San Francisco, July 2006.
20
21
22
23
24
Seven-wafer DoE of six-layer low-k stack
25
26
Wafer 1
Wafer 2
Wafer 3
Wafer 4
Wafer 5
Wafer 6
Wafer 7
27
Ox
28
Thickness
650.6
Mean
9.9
1048.5
1007.5
1019.9
1045.4
1001.3
29
Thickness
Mean
653.4
577.3
613.6
647.2
617.0
593.9
649.4
31
RI @ 633 nm
Mean
1.7161
1.7224
1.7370
1.7075
1.7193
1.7210
1.7095
32
Thickness
Mean
2568.9
2640.4
2513.1
2575.5
1238.1
4939.2
2561.6
RI @ 633 nm
Mean
1.3807
1.3640
1.3805
1.3662
1.3785
1.3713
1.3647
Thickness
Mean
423.4
414.8
419.6
789.4
412.9
418.4
363.3
RI @ 633 nm
Mean
1.8548
1.8384
1.8472
1.8368
1.8418
1.8441
1.8394
Low-K
Thickness
Mean
1266.1
4921.4
2491.6
2551.3
2501.1
2468.0
56.4
39
RI @ 633 nm
Mean
1.3943
1.3923
1.4042
1.3907
1.3925
1.4069
1.4153
40
SiN
SiC(1) Low-K SiC(2)
30
Thickness
Mean
524.0
529.2
526.7
526.2
515.6
521.3
559.3
RI @ 633 nm
Mean
2.0350
2.0317
2.0443
2.0361
2.0572
2.0526
2.0594
33
34
35
36
37
38
表1:この6層low-k積層ウェーハ7枚のDoE測定の信頼性は、ミッシングレイヤ、二重蒸着層、半蒸着層、積層膜のばらつきをランダムに使用して
テストされた
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
ファブの経済性
1
2
3
多くの利点をもたらすサイクルタイムの
短縮
Doug Sutherland – KLA-Tencor Corporation
4
5
6
7
8
9
10
11
12
コンシューマエレクトロニクスが工場の収益力向上の原動力となる中、ウェーハのサイクルタイムが半導体製品の市場投入ま
での時間を短縮する決め手となっている。サイクルタイムを短縮して運用効率を向上させることで、ウェーハ工場環境の稼働
率改善と早期の市場投入を実現できる。
通常、計測および検査工程がウェーハ工場の合計サイクル
タイムに占める割合は5%ほどにすぎないが、これらの計測
および検査工程でもたらされる価値は、歩留まりの改善と
いう点から、プロセスに要するサイクルタイムコストの何
倍にもなる。しかし、サイクルタイム管理プログラムを成
功させるには、工場内に設置されているあらゆるツールセ
ットにおいてサイクルタイムを短縮するというファブワイ
ドな活動が要求される。近年、ウェーハ工場では、ツール
の利用率を最大限高めてウェーハあたりのコストを引き下
げるという傾向から脱却し、サイクルタイムを短縮して売
上と収益を伸ばそうとする傾向が強くなっている。これら
2つの目的は互いに相反するものである。それは、利用率を
下げるとサイクルタイムは短縮するが、それと同時に生産
性も下がるからである。重要なのは、これら2つの目的のバ
ランスをとることである。
サイクルタイム(CT)の短縮は多くの利点をもたらす。その
1つは、製品の市場への早期投入である。ほとんどの半導体
製品(DRAM、フラッシュメモリ、ロジックなど)の価格は、
当初の製品リリースから1年間で50∼80%急落することが
普通である。CTが短いほど、製品が製造工程に投入されて
から市場に供給されるまでの価格の下げ幅が小さくなるの
で、高い価格を維持できる。その他の利点としては、習熟
サイクルの短縮と仕掛品(WIP)の削減がある。研究開発分野
では、習熟サイクルの短縮は開発時間の短縮を意味する。
量産工程に応用すれば、歩留まりの早期立ち上げに役立
つ。WIPとCTの関係は、いわゆる「リトルの法則」で求め
ることができる(1)。
WIP = (CT) x (単位時間あたりの投入量)
上の式では単位時間あたりの投入量が一定の場合、WIPは
CTと共に線形的に小さくなることになる。その利点は、
その時々で工場のロット数を少なくできるということであ
る。その結果、オーバーヘッドを削減し、プロセス切り
替えの対象となるロット数を減らし、歩留まり異常の発
生に際してリスクにさらされるロット数を減らすことがで
きる。また、WIPが少なくなることは、市場が鈍化した際
13
14
15
16
に手持ちの未完成品が少なくなることも意味する。Clayton
Christensenは、サイクルタイムについて、次のような大変
興味深い発言をしている(2)。「開発時間を1日追加すること
は、ステッパーの検査であれ、プロセスの検証であれ、製
造するウェーハ1枚あたり3.44ドルを支払っていることに等
しい。また、ダイ歩留まりの成熟が1日延期されることは、
ウェーハ1枚あたり1.35ドル支払うことに等しい。さらにサ
イクルタイムが1日延びることは、ウェーハ1枚あたり3.04ド
ル支払うことに等しい。」
以上のことから、CTの価値がいかに大きいことが想像でき
るであろう。つまり、CTを一日短縮すれば、年間で約100万
ドルの節約となる(30,000 [WSPM] x 12[月数] x 3.04ドル[ウェ
ーハ1枚] = 年間110万ドル)。
数学的に説明すると、CTは、待ち時間(1つのロットが処理
されるまで待機する時間)にプロセス時間(ツールでの処理に
要する時間)を加算した数値に等しい。プロセス時間は簡単
に計算することができるが、待ち時間(QT)は、以下の3つの
関数の積として求める必要がある(3)。
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
QT = {ƒ(変動性)} {ƒ(利用率)} {ƒ(稼動率)}
33
上記の式が唯一正しい式というわけではない。式に反映させ
る詳細レベルに応じ、単純なものから非常に複雑なものまで
いくつかの式がある。しかし、基本的には、QTに関連すべ
ての数式には以下の4つの特徴が共通している。
34
1) 変動性のないシステムの待ち時間は0(ゼロ)となる:
ƒ(変動性)=0の場合、QT=0である。
2) ƒ(利用率)は1/(1−利用率)に比例する:CTは、利用率
の増加と共に指数関数的に増大する。
3) ƒ(利用率)は1/(ツール数)にも比例する:CTはツール数
が増えるほど短縮する。
4) ƒ(稼働率)が1/(稼働率)と比例する:CTは、稼働率(アッ
プタイム)が上がるほど指数関数的に小さくなる。
38
35
36
37
39
40
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
ファブの経済性
利用率を下げて稼働率を高めるだけでは、CTは短縮できな
い。あらゆる変動原因を排除することによってのみ、QTを
ゼロにすることができる。数学的には、変動性はシステム
の標準偏差をその平均値で除算して測定される。ウェーハ
工場における変動の基本原因は以下の3つである。
1) ロット到着間隔の変動
2) ロットのプロセス時間の変動
3) ツールのダウンタイムの変動
図1に、1∼5台のツールで構成された同一のツールセットの
運用曲線(CTと利用率のプロット)を示す。ここでは単位変動
性と稼働率100%を想定する。ここで明らかなのは、ツール
を1台から2台に増やしても処理能力が単純に2倍になるわけ
ではないという点である。CTが、利用率60%のツール1台と
同じ場合、2台のツールを約80%の利用率で実行できる。こ
の場合、ツール数が2倍になるだけでなく、それらの各ツー
ルで処理できるウェーハが約30%増えることになる。これは
260%の改善率である。n+1台目のツールを増やす効果は、
nが大きくなる(大規模なツールセット)ほど低減される
が、原理的にはn=1の場合と同様に利用率を高められる。こ
れが、大規模ウェーハ工場が得ている経済的優位性の根幹
を成す教義の1つである。大規模工場は通常、CTが短く、ウ
ェーハ1枚あたりのコストも低い。それは、運用曲線の最も
急峻な部分にまで上昇することなく、高利用率でツールを
運用できるからである。
ツール数の他にも、関連する変動、稼働率、利用率もCTに
直接的な影響を与える。稼働率および利用率をそれぞれ
95%と85%に仮定した場合、いくつかの興味深く予期しな
い傾向がサイクルタイムに現われる。たとえば、ある稼働
率について、MTBI (Mean Time Between Interrupts:平均介入
時間)が大きくなると、CTは実際には増大する。つまり、図
2に示すように、同じダウンタイムを、少数の長時間イベン
ト(高MTBI、高MTTR)に分割するよりも、多数の短時間イ
ベント(低MTBI、低MTTR)に分割したほうが良いというこ
とである。この場合、ツール設計の点が問題となることは
ない。それは、我々は通常、MTBIが高い(システムのダウ
ンイベント数が少ない)ほど、稼働率が高くなると想定する
からである。しかし、点検修理の観点から、我々は「ここ
で問題Aを解決したら、同時にB、C、およびDも調整したほ
うが良くはないだろうか」というように、実利的な考え方
をすることがよくある。このような善意の行動はツールの
MTBIとMTTRを改善するが、稼働率が大きく改善すること
はなく(つまり、合計修復時間は変わらない)、結果的にCTを
大きくしてしまう。我々の善意の行動は、顧客のCTを短縮
するという点で、直感に反し、しかも逆効果である。
1
2
3
4
5
6
7
8
大規模工場は通常、CTが短く、
ウ
ェーハ1枚のコストも低い。
それ
は、運用曲線の最も急峻な部分
にまで上昇することなく、高利用
率でツールを運用できているか
らである。
もう1つの興味深い事例はツールマッチングである。専用(ゴ
ールデン)ツールを所有することは必ずしもCT短縮につなが
らない。図3は、プロセスの5レイヤを5台の明視野検査マッ
チングツールで検査した場合と、4レイヤを4台のマッチング
ツールで、残りの1レイヤを1台の専用(ゴールデン)ツールで
検査した場合の、CTへの影響を比較したものである(単純化
を図るため、ここではサンプリング率を100%とする)。5台の
マッチングツールの場合、5レイヤともCTは5台のツールの
運用曲線(図1を参照)で表されるが、4台のマッチングツール
の場合、4レイヤのCTが4台のツールの運用曲線で表され、
1レイヤのCTが1台のツールのみで構成されたツールセット
の運用曲線で表される。この事例で非マッチングツールを使
用した影響は、そのツールセットの合計CTが2倍になったこ
とである(図3)。工場では、ゴールデンツールを利用できない
場合、他のツールをマッチングツールとみなして(つまり、
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
4.0
4
2
1
0
20%
33
100 Hrs MTBI
3
0%
32
1000 Hrs MTBI
1 Tool
2 Tools
3 Tools
4 Tools
5 Tools
5
Cycle Time (Days)
Cycle Time ( x Process Time)
31
6
40%
60%
80%
100%
Utilization
3.0
34
35
2.0
36
37
1.0
38
0.0
50%
39
60%
70%
80%
90%
100%
Utilization
40
41
42
図1:1∼5台のツールで構成されたツールセットのサイクルタイムと利
図2:稼働率は同じ(95%)だがMTBIとMTTRは異なる2つのツールセットのサイ
用率。CTの単位はツールのプロセス時間の倍数である。各ツールセット
クルタイムと利用率。CTの短縮という観点からは、稼働率が同じあれば、イ
43
のツール数が多い大規模工場は、CTに大きな影響を与えずに高利用率で
ベント時間は長いがイベント数は少ない(MTBI=1000時間)よりも、イベント
ツールを実行できる点で優位である。
時間は短いがイベント数は多い(MTBI = 100時間)ほうが良い。この例の両者
44
の差は利用率85%で約1日である。
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
ファブの経済性
ゴールデンツールでロットを処理するまで待機させるより
も、他のいずれかのツールでロットを処理することによっ
て)、この影響を緩和できる。ただし、この方法では、ベー
タリスク増大によるコストは避けられない。
4.0
1 Golden & 4 Matched
Cycle Time (Days)
5 Matched Tools
3.0
2.0
1.0
0.0
50%
60%
70%
80%
90%
100%
Utilization
図3:複数台の専用ゴールデンツールでレイヤを処理した場合、「シングルツー
ル」環境(図1を参照)が形成され、サイクルタイムが大幅に増大する。このサイ
クルタイムの増大は、利用率が高くなるにつれて悪化する。この事例の場合、
非マッチングツールを所有した結果、サイクルタイムがほぼ2倍になっている。
4.0
Billable
Cycle Time (Days)
Contract
3.0
2.0
1.0
0.0
50%
60%
70%
80%
90%
100%
Utilization
図4:6台の明視野ツールを有償契約からサービス契約に切り替えた場合のサ
イクルタイムへの影響。ツールをサービス契約で所有することで稼働率が高
くなると、運用曲線が平坦化すると同時に、利用率が低下する(利用率は生
産時間を稼動時間で除算したものと等しい)。利用率が85%の有償ツールの場
サービス契約では、有償契約と比べ、サイクルタイム管理
にもたらす利点が3倍になる。第一に、サービス契約はツー
ルの稼働率を高める。これは言い換えると、利用率が自動
的に小さくなるという利点も追加される(利用率は、生産時
間を稼動時間で除算したものに等しい)。最終的には、サー
ビス契約はダウンタイムの変動を大幅に縮小する。このこ
と自体、CT短縮に大きく寄与する。図4に、2つの異なる条
件下で6台の明視野検査ツールを運用したときの運用曲線を
示す。1つは、有償ツールの代表的な信頼性特性値を適用す
るという条件、もう1つは、サービス契約下で6台のツール
を保証対象とする条件である。対応が速く(ダウンタイムが
短く、稼働率が高い)、またダウンタイムの変動が小さくな
ると、CTが1.9日短縮される。もう1つの大きな要因は、利
用率は生産時間を稼動時間で除算したものと等しいので、
有償契約の場合に85%の利用率で運用しているツールセット
は、サービス契約だと82%の利用率で運用できるということ
である。
IC業界がコンシューマエレクトロニクスによって活力を得
るにつれ、適切な量の製品を適時生産することを目指すウ
ェーハ工場にとって、サイクルタイム(「市場投入までの
時間」ともいう)はこれまでにない重要性を帯びてきてい
る。ICメーカが初めて見込み客に提供するエンジニアリン
グサンプルによってデザインウィンを獲得できる可能性も
ある。これは、文字通りそのビジネスの成功を左右する。
同様に、数百万ドル分のWIPで身動きが取れなくなってい
ても、市場が下降したり消費者が次の新製品に目移りした
りすることは止められない。そこが、その年度を増益で終
わることができるか、赤字で終わるかの分かれ道となる。
IC業界には、サイクルタイムの重要性が低い多くのニッチ
市場が存在し、今後もこれは変わらないであろうが、全体
的な傾向として、当面はサイクルタイムを短縮して経営効
率を改善する方向に進んでいくであろう。その結果、ウェ
ーハ工場環境に高稼働率と変動縮小をもたらす製品および
サービスを重視する傾向は強まっていくであろう。
合、サービス契約に切り替えることでサイクルタイムが1.9日短縮される。
参考文献
1. W.J. Hopp and M.L. Spearman, McGraw-Hill, Factory Physics , 2001, p. 223.
2. Clayton Christensen, Solid State Technology , August 2001.
3. W.J. Hopp and M.L. Spearman, McGraw-Hill, Factory Physics , 2001, p. 325.
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
マスク
1
2
3
45nmノードのDie-to-Databaseレチクル
検査のフィールド評価結果
William Broadbent, Ichiro Yokoyama, Paul Yu, Heiko Schmalfuss, Jean-Paul Sier – KLA-Tencor Corporation
Ryohei Nomura, Kazunori Seki – Toppan Printing Co., Ltd
Jan Heumann – Advanced Mask Technology Center GmbH & Co
4
5
6
7
8
9
10
11
12
日本の凸版印刷株式会社(以下凸版)とドイツのAdvanced Mask Technology Center (以下AMTC)でTeraScanHRシステムをテストし
13
た結果、同システムで高感度、低擬似欠陥率、高速スキャンが達成されたことが証明された。TeraScanHRシステムの高NA光
14
学系、新オートフォーカス機構、ピクセルサイズの微細化、アルゴリズムのレンダリングおよびモデリング機能の改善によっ
15
て微細な線幅、アグレッシブOPCにおいても微小な欠陥の検出能力および擬似欠陥率の大幅改善が確認された。一部の検査モ
ードにおいては反射光検査と透過光検査を統合してもスキャン時間が延びることなく、高品質レチクルの生産に必要な高精度
レチクル検査能力を実現する。
16
17
18
19
TeraScanHRは、従来のTeraScanTRプラットフォームをベー
スに改善された新しいレチクル検査プラットフォームであ
る。このプラットフォームでは光学系精度が向上し、微細
パターンを高いレベルで解像する。また、高精度データ
ベースモデリング機能によってdie-to-database検査で微細な
OPCを高精度で表現する。さらに、画像処理機能の高速化
により特に統合モード(透過光+反射光)の使用時に生産性
を向上させることができる。TeraScanHRプラットフォーム
は、45nmノードに対応している他、65nmノード、90nmノー
ド、130nmノード向けに構成することもできる。
本論文では、TeraScanHRプラットフォームの技術的側面に
ついて解説し、凸版とAMTCに出荷したベータ装置のフィー
ルドテストで得られた結果を一部報告する。このテストで
は、90∼32nmロジックノードの幅広い製品レチクルや製品
に近いレチクルと共に、プログラム欠陥テストレチクルを
使用して欠陥検出性能を検証した。また、同等のメモリノ
ードを使用し、対象ピクセルサイズ(72/90/125/150nm)を使用
図1:45nm世代のマスク検査を可能にする新しいTeraScanHRシステム。
した場合の感度と検査性の両方を評価した。これらのベー
タ装置は現在、最先端量産ラインで使用されている。
20
21
22
レチクル検査の開発
23
TeraScanHRプラットフォームは、45nmノードの最先端量
産条件と32nmノードの開発条件に対応するための高性能
と新機能を提供する。TeraScanHRプラットフォームには、
130nmノードから32nmノードまでのレチクル検査をコスト
効率よく実施するための多様なモデルが用意されており、
構成を自由に選択できる。レチクルメーカやウェーハファ
ブは、現時点で必要な機能だけを購入し、将来、必要に応
じて高機能モデルにアップグレードできる。図1に代表的な
TeraScanHRシステムを示す(3つの電子ラックは本体から離し
て設置できる)。
24
この新システムの画像処理テクノロジでは、ウェーハリソグ
ラフィシステムよりもはるかに高解像度のレチクルイメージ
を撮像する能力を持ち、メインパターンとアシストフィーチ
ャの両方を直接検査できる。また、さまざまなリソグラフィ
波長用レチクルの高性能検査にも対応する。TeraScanHRは、
代表的なバイナリ(COG)マスク、透過率6%のEPSMマスク
(シンプルトライトーンマスクを含む)、ダークフィールド
AltPSMに対応する。TeraScanHRシステムでは、透過光検査モ
ードと反射光検査モードの両方をサポートし、1回の検査に
統合できる。
32
新しく72nmピクセルを使用することで、32nmロジックレ
チクルと約45nmのハーフピッチメモリレチクルの開発に適
用する。エンハンサーマスク、コンプレックストライトー
ン、クロムレスなど、さらに高機能な超解像技術(RET)の
ための拡張機能の開発も行われている。大きいピクセルサ
イズは、65nmロジックノードから130nmノードまでに適用
し、スキャン時間の短縮を利点として持つ。
25
26
27
28
29
30
31
33
34
35
36
37
38
39
40
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
マスク
イメージングサブシステム
図2にイメージングサブシステムを示す。高解像度オプティ
クス/リニアセンサアーキテクチャが、透過光照明パスと反
射光照明パスの両方で採用されている。
光源は、波長257nmの連続波(CW)レーザ(寿命5,500時間以
上)である。アクティブビームステアリングサブシステム
はビームドリフトを補正する。透過光イルミネータには、
ユーザが自在に選択可能なさまざまな構成が用意されてい
る。現在、COGおよびEPSMレチクル向けの標準コントラス
トと、AltPSM、エンハンサーマスク、クロムレスなどのク
ォーツエッチングレチクル向けの位相コントラストという
2つの照明光学系構成を備える。位相コントラストモードで
は、クォーツ位相欠陥(バンプやディボット)に対するコント
ラストに優れ、欠陥検出感度が向上している。
必要な性能レベル達成のため、新システムには微細ライ
ン、OPC、欠陥を解像する高NA光学系(従来の90nmピクセ
ルTeraScanTRプラットフォームよりも約1.2倍のNA)を採用
し、新しく72nmピクセルをサポートした。高NA光学系は
焦点深度が浅いため、新オートフォーカスシステムを採用
し、必要なフォーカス精度を実現した。先進のプレマップ
技術により、特にクォーツエッチングタイプなどの大きな
トポロジーを持つレチクルを検査する場合などに適切なフ
ォーカスを維持する。
1
2
3
4
5
6
7
8
画像処理
9
TeraScanHR画像処理サブシステムは、高速プロセッサが搭載
され、自在にプログラム可能でスケーラブルなマルチプロ
セッサアーキテクチャを採用したTera Image Supercomputerを
特徴としている。
10
カスタム設計の対物レンズは、ズームレンズを通してセン
サ上にレチクルの画像を結像する。ズームレンズの倍率変
更により、ユーザは72nm、90nm、125nm、150nmの4種類の
ピクセルサイズを選択できる。低感度検査では大きなピク
セルサイズを使用し、スキャン時間を短縮することができ
る。画像のピックアップは、Time Domain Integration(TDI)セ
ンサによって行われる。TDIセンサは、従来のCCDリニアセ
ンサよりもはるかに低い照明レベルで高速連続画像ピック
アップを行う。
基本的な検出方法では、テスト画像をリファレンス画像に
重ね合わせ、事前に指定されたサイズを超える差分を欠陥
として検出する。欠陥のない画像同士は一致するので、差
があれば、欠陥と見なす。die-to-die検査の場合、隣接するダ
イ同士でテスト画像とリファレンス画像を比較する。die-todatabase検査の場合、テスト画像を、デザインデータベース
または描画データベースから再構築したリファレンス画像
と比較する。STARlight検査の場合、透過光画像を反射光画
像と比較し、差があれば、異物欠陥と見なす。
13
TeraScanHRシステムは、スイッチングデバイスを使用して透
過光照明と反射光照明を単一のイメージセンサ上に結像す
る。これにより、透過光照明と反射光照明の両方を使用し
た検査(Integrated T+Rモード)を実現できる。それぞれの照明
モードが最高性能を発揮する欠陥クラスやパターンタイプ
は異なる。したがって、両モードを組み合わせたIntegrated
T+Rモードによって最高品質の検査が可能になる。
新しいイメージコンピュータはさらに高速のプロセッサを採
用し、従来のイメージコンピュータと比べて2倍の数のプロ
セッサを搭載している。処理能力を増強した結果、従来では
速度低下が避けられなかった高度な処理集約型検査モードに
おいてスキャン時間を改善した。また、検査ステーションの
速度を落とさずに透過光検査と反射光検査の同時処理を実現
した。その結果、従来のTeraScanTRシステムと比べ、飛躍的
にコスト効率を改善したT+Rモード検査が可能になる。
Condenser
Transmitted
Illumination
Photomask
Objective
DUV
Laser Source
Reflected
Illumination
die-to-database検査用の追加処理ブロックでは、レチクルのデ
ザインデータベースまたは描画データベースからリアルタ
イムでデータベース画像を再構築する。欠陥検出感度を低
下させるエラーを回避するため、高機能モデリングアルゴ
リズムによってデータベース画像を高い次元で光学画像と
一致させることに成功した。新しいdie-to-database欠陥検出ア
ルゴリズム UHR は、従来のアルゴリズムに比べ、透過光と
反射光での微細なOPC構造のモデリング精度が格段に高い。
リファレンス画像からテスト画像が減算され、差分画像が
生成される。テスト画像とリファレンス画像は互いに正確
に一致し、欠陥が存在するとき以外、差分画像の背景は一
様な灰色となる。
テスト結果
Reflected
Image
TDI Sensor
Transmitted
Image
図2:高解像度の透過画像と反射画像には、サブ解像度のセリフが
結像され、目視でもはっきりと確認できる。透過画像と反射画像の
いずれにも、オーバーサイズクリアセリフ欠陥が目視で確認でき
る。遮光部分に存在する異物は反射光画像でのみ確認できる(ダーク
スポット)。
凸版とAMTCで4か月にわたって行われたフィールドテスト
および調整の結果、システム性能を広範囲に検証すること
ができた。各ベータサイトでは、KLA-Tencor標準のプログ
ラム欠陥テストレチクルと、各サイト側で用意した独自の
テストレチクルを使用して感度と擬似欠陥率を検証した。
ベータサイトのテストレチクルには、32nm、45nm、およ
び65nmロジックノードの代表的な形状パターンの他に、
5xnmハーフピッチのメモリノードの形状パターンが含まれ
ている。最大感度、およびさまざまな量産適応感度を交え
てこれらのレチクルをテストした。
11
12
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
マスク
Prior Image Acquisition
New Image Acquisition
Difference Image
Difference Image
Small lines &
dark SRAF
Same pixel
90nm
Real
defect
Same algo
UCF (old)
また、45nm、65nm、90nmのロジックノードや4xhp、5xhp、
7xhpのメモリノードの多様な製品レチクルや製品に近いレ
チクルを使用して量産に適応したディテクタ設定を検証し
た。これらはArFリソグラフィ向けのレチクルで、一部の
AltPSMマスクおよびEUVマスクを含めた、大多数の透過率
6%EPSMの基本のクリティカルパターンレイヤによって形成
されている。このテストでは、アグレッシブOPCが適用さ
れた最先端製品レチクルのフルフィールド検査で良好な結
果が得られたことが証明され、高感度、低擬似欠陥率が示
された。
1
2
3
4
5
6
7
8
9
Imaging limitations
Improved imaging
新しい画像取り込み機能による画像処理の改善
Optical & database
matching errors
False detection
Better optics
New autofocus
Improved stage
新しいTeraScanHRシステムの光学画像処理の均一性の改善
は、SRAFなどの微細構造で顕著に見られた。図3に、従来の
システム(左)と新しいTeraScanHR (右)のSRAF差分画像ノイズ
の比較を示す。これらの差分画像は、90nmピクセルと従来
のUCF die-to-databaseアルゴリズムを使用して同じ65nmノー
ドレチクルから得られたものである。
図3:従来と新しい画像取り込み機構の微細SRAFイメージングの比較。
90nm Pixel
72nm Pixel
Transmitted light
Spica-200–193
260nm dark line
~ 40% more
modulation
than 90nm pixel
図4a:90nmと72nmピクセルの微細なダーク突起欠陥のイメージの
比較。
Difference Image
45nm Logic
72nm pixel
Poly Layer
Database Transmitted
6% Tri-tone
High detector settings
Aggressive OPC
UHR Algorithm
Excellent matching
of optical image and
database model
図4b:72nmピクセルで実現した高解像度と新しいデータベースモ
デリング機能によって、低ノイズ、低擬似欠陥率、高ディテクタ感
度設定を可能とした。
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
11
12
13
14
15
オートフォーカスサブシステム、低収差光学系、低振動ス
テージが新しく導入された結果、ノイズが削減され、画像
処理の均一性が改善された。この例では、まだ差分画像に
ノイズが存在しているが、これはUCFアルゴリズムが古く、
微細構造のモデリング機能の限界によって発生したもので
ある。新しいUHRアルゴリズムにはさらに高精度なモデリ
ング機能により低ノイズの差分画像を生成できるようにな
り、擬似欠陥率も低下している。
16
高解像度とデータベースモデリング機能の改善
23
新システムの高NA光学系により、新しい72nmピクセルで微
細なOPC構造、微細ラインアンドスペース、微細欠陥を解
像できるようになった。図4aに、従来の90nmピクセル(左の
画像)と新しい72nmピクセル(右の画像)を使用して撮像した
微細なダーク突起欠陥の比較を示す。72nmピクセルのほう
はモジュレーションが40%高い(差分画像ではサイズが大き
く、信号がより暗いことに注目)。加えて、72nmピクセルに
使用されるUHRファミリdie-to-databaseアルゴリズムが提供
する高精度モデリング機能によって、差分画像のノイズを
減らし、擬似欠陥率を低下させることができる。
24
1.25x Mag
Dark extention
defect ~ 30nm
10
図4bは、アグレッシブOPCが適用された45nmロジックゲート
レイヤの差分画像を示す。これは、72nmピクセルで結像し
た画像と、新しいUHRアルゴリズムでモデリングしたデータ
ベース画像の差分画像である。この差分画像では、微細パタ
ーンについてノイズが非常に低いことが示されている。これ
により低擬似欠陥率と高ディテクタ感度設定が実現する。
17
18
19
20
21
22
25
26
27
28
29
30
31
32
33
34
35
36
37
72nmピクセルのDie-to-Database感度
38
図5 (次ページ)に、KLA-TencorのSpica-200-193プログラム欠陥テ
ストレチクルを使用したdie-to-databaseモードによる代表的な
感度性能を示す。このテストレチクルは、波長193nmのリソ
グラフィ向けの透過率6%の標準的なEPSMであり、複数の線
幅セクションに代表的なSEMI Wireプログラム欠陥が作り込
まれており、最小ダークラインは260nmである(図を参照)。
このテスト結果は、透過光照明による72nmピクセルのdieto-databaseモードと、最高感度を設定した標準HiResディテク
タ(HiRes1およびHiRes2)を使用して得られたものである。灰
39
40
41
42
43
44
45
46
マスク
色の四角形は、20回の連続検査で100%の検出率を得られた
ことを示す。四角形の上の数値は、SEM画像からKLA-Tencor
の最大内接円中心法(MIC)を使用して得られた欠陥サイズ
である。下の数値は検出率である。最小欠陥の検出率が
100%の各列には、読みやすいように大きいフォントで欠陥
サイズを示している。微細なピンホールは製造が難しく、
この上部についてはレチクル上に欠陥は存在しない。
1
10
2
90nm P
65nm P
45nm P
3
4
0
∆ CD
5
6
7
-10
凸版の45nmプロセスレベル
57%
凸版で使用されたテストレチクルは、最先端45nmプロセス
で作成されたものである。45nmプロセスでは、従来のプロ
セスと比べ、リニアリティー、コーナーラウンディングお
よび解像度で大幅な向上を示した。図6を見ると、65nmプロ
セスと比べてリニアリティーが57%向上している。
-20
8
73%
9
10
Target CD
11
図6:トッパンの45nmプロセスでは、プロセスリニアリティーが57%改善。
図7 (次ページ)に、Carbonateテストレチクル(一部の欠陥のみを
示す)の45nmセクションについてdie-to-databaseおよびdie-todie透過光モードを使用した72nmピクセルによる欠陥検出性
能を示す。ディテクタ設定は、最高感度および量産適応設
定の両方を使用した。量産適応設定は、50を超えるさまざ
まなパターンを検査し、擬似欠陥率を低く抑え、かつ最大
の検出能力を持つ量産設定を導き出した。この量産設定で
は、最大設定の場合とほぼ同等の感度性能が達成された。
また、Die-to-databaseの性能は、die-to-dieの性能と非常に近
い。これは、データベースモデリングとレチクル均一性が
共に良好であることを示す(多くのシステムおよびマスクエ
ラーは隣接ダイで共通しているので、通常、die-to-dieの性能
が最も高い)。
凸版のプログラム欠陥テストレチクルを使用した欠陥検出性能
凸版は、最先端レチクル検査装置の性能をテストするこ
とを目的とした2種類のプログラム欠陥テストレチクル(
「Carbonate」と「Cyclics」を設計した。Carbonateレチクルが
ライン/スペース系デザインであるのに対し、Cyclicsレチクル
はホール系デザイン(360nmと420nm)である。Carbonateテスト
レチクルには、45nmノードに特有のライン/スペースクリテ
ィカルパターンレイヤの代表的な複数のパターンが含まれ
ている。これらのパターンには、ジョグ、セリフ、SRAF、
およびさまざまなプログラム欠陥がメインパターンおよび
OPCストラクチャ上、またはその近辺に配置されている。
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
0.017µm
0.000µm
0.000µm
0.000µm
0.005µm
0.005µm
0.005µm
0.005µm
0.005µm
0.005µm
0.000µm
0.000µm
28
0.00%
0.00%
0.00%
0.00%
0.00%
0.00%
0.00%
0.00%
0.00%
0.00%
0.00%
0.00%
29
0.019µm
0.000µm
0.000µm
0.000µm
0.010µm
0.010µm
0.010µm
0.010µm
0.010µm
0.010µm
0.000µm
0.000µm
0.00%
0.00%
0.00%
0.00%
0.00%
0.00%
0.00%
0.00%
75.00%
95.00%
0.00%
0.00%
0.024µm
0.015µm
0.000µm
0.018µm
0.015µm
0.015µm
0.015µm
0.015µm
0.015µm
0.015µm
0.028µm
0.000µm
0.00%
0.00%
0.00%
0.00%
0.00%
0.00%
0.00%
5.00%
100.00%
100.00%
40.00%
0.00%
0.031µm
0.022µm
0.000µm
0.030µm
0.020µm
0.020µm
0.020µm
0.020µm
0.020µm
0.020µm
0.024µm
0.000µm
33
100.00%
0.00%
0.00%
0.00%
0.00%
0.00%
100.00%
100.00%
100.00%
100.00%
100.00%
0.00%
34
0.039µm
0.031µm
0.017µm
0.030µm
0.026µm
0.026µm
0.026µm
0.026µm
0.026µm
0.026µm
0.033µm
0.000µm
35
100.00%
100.00%
0.00%
0.00%
100.00%
90.00%
100.00%
エッジ配置
100.00%
100.00%
100.00%
100.00%
0.00%
36
0.039µm
0.031µm
0.028µm
0.033µm
0.031µm
0.031µm
0.031µm
0.031µm
0.031µm
0.031µm
0.034µm
0.000µm
37
100.00%
100.00%
0.00%
0.00%
100.00%
100.00%
100.00%
100.00%
100.00%
100.00%
100.00%
65.00%
38
0.046µm
0.039µm
0.032µm
0.036µm
0.036µm
0.036µm
0.036µm
0.036µm
0.036µm
0.055µm
0.033µm
100.00%
100.00%
5.00%
100.00%
100.00%
100.00%
100.00%
100.00%
100.00%
100.00%
100.00%
80.00%
0.047µm
0.046µm
0.039µm
0.017µm
0.041µm
0.041µm
0.041µm
0.041µm
0.041µm
0.041µm
0.059µm
0.044µm
100.00%
100.00%
45.00%
100.00%
100.00%
100.00%
100.00%
100.00%
100.00%
100.00%
斜め方向
100.00%
100.00%
0.017µm
0.017µm
0.017µm
0.047µm
0.046µm
0.046µm
0.046µm
0.046µm
0.046µm
0.046µm
の拡張
0.046µm
0.046µm
42
100.00%
100.00%
100.00%
100.00%
100.00%
100.00%
100.00%
100.00%
100.00%
43
31nm
31nm
水平方向
100.00%の拡張
100.00%
内角
36nm
外角
26nm
31nm
CD0.036µm
20nm
20nm
15nm
15nm
24nm
100.00%
30
31
32
39
40
41
44
図5:Spica-200-193テストレチクルを使用した透過光Die-to-database、72nmピクセルによる欠陥検出感度。
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
マスク
1
: p72 ddT Max Sense
: p72 dbT Max Sense
: p72 ddT Production Sense*
: p72 dbT Production Sense*
2
3
4
5
6
Pindot
Extension
— 50nm spec
— 50nm spec
Pinhole
— 50nm spec
Mis-Place
Mis-Place
Intrusion
Intrusion
— 25nm spec
— 25nm spec
— 50nm spec
— 50nm spec
CD
— 25nm spec
7
8
9
10
11
12
13
14
15
16
17
18
19
*More than 50 various patterns tested
20
21
: p72 ddT Max Sense
: p72 ddR Max Sense
: p72 dbT Max Sense
: p72 dbR Max Sense
Dark Extension
Clear Extension
Pinhole
Defect size
Small
図7:凸版の45nmノードのライン/スペースプログラム欠陥テストレチクル(Carbonate)を使用した72nmピクセルによる感度性能。
図8に、感度を最大に設定した場合の透過光および反射光に
よるdie-to-dieとdie-to-database間の感度の関連性を示す。こ
の例では、凸版のCyclicsテストレチクルを使用している。
このレチクルには、サイズの異なる密集ホールと孤立ホー
ルなど、ホール形状パターンを使用したさまざまなプログ
ラム欠陥が含まれている。この例で示された一般的な関連
性は以下のとおりである。(1) die-to-die検査はdie-to-database検
査よりも感度が高い、(2)ダーク欠陥の場合、透過光のほ
うが反射光よりも感度が高い、(3)クリア欠陥の場合、反
射光のほうが透過光よりも感度が高い。これは、透過光
と反射光を併用することによって全体的な欠陥検出性能
が大幅に向上することを示唆している。緑色のラインは
ITRS条件を示す。
Big
図9 (次ページ)に、透過光モードによる、オーバーサイズSRAF
欠陥の画像と欠陥マップを示す(Carbonateテストレチクルの
32nmノードセクション)。パターンを識別しやすいようにエ
ッジ強調機能を有効にしている。差分画像の欠陥以外の差
分が少ないことは、微細SRAFのデータベースモデリングが
良好であることを示している。欠陥マップでは、ヌイサン
ス欠陥や擬似欠陥は見当たらない。
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
Die-to-Database透過光モードを使用した最先端製品レチクルの
評価
図8:凸版の45nmノード密集ホールプログラム欠陥テストレチクル
(Cyclics)を使用したTeraScanHRの72nmピクセル感度性能。die-to-dieお
よびdie-to-database、それぞれ透過光と反射光による性能を示す。
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
多数の製品レチクルおよび製品に近いレチクルを使用し、
フルフィールド検査において擬似欠陥率の低い「量産適応
感度設定」を検証した。45nmノードの最先端クリティカル
パターンレイヤレチクルを使用して72nmピクセルをテス
トする一方、65nmノードの最新の量産レチクルを使用し
41
42
43
44
45
46
マスク
て90nmピクセルをテストした(後者のデータは省略)。同等
のメモリレチクルも使用した。die-to-dieモードとdie-to-databaseモードを透過光照明と反射光照明の両方でテストした。
具体的には、標準HiResディテクタを、ホールレイヤ用の
Litho2ディテクタと併用した。TeraScanHRシステムは、両ベ
ータサイトにおいて高ディテクタ設定(最高感度)で擬似欠陥
率が低下したことをはじめ、優れた検査能性を示した。
1
Trans-only or Refl-only
New TeraScanHR
Standard T+R
TeraScanHRに新しく導入されたイメージコンピュータは、い
くつかの演算集約型検査モードおよび透過光検査モードと反
射光検査モードの両方を使用する(「統合」モード)において
スキャン時間の大幅短縮を実証した。図10に示すように、従
来のTeraScanTRプラットフォームの場合、die-to-dieまたはdieto-databaseのいずれかのモードを使用したT+R検査では、透
過光のみまたは反射光のみの場合と比べて約2倍のスキャン
時間を要する。これは、画像処理演算の負荷が重いためであ
る。TeraScanHRでは、ほとんどのT+Rモードにおいて速度低
下を起こさない。これらの「高速T+R」モードには以下のも
のがある。(1) COG、EPSM、およびトライトーンレチクルタ
イプを使用したdie-to-dieモードによる72/90/125/150nmピクセ
ル、(2) COGおよびEPSMレチクルタイプを使用した(トライト
ーンは使用しない)die-to-databaseモードによる90/125/150nmピ
クセル。高速T+Rは現在、72nmピクセルdie-to-databaseモード
については利用できない。72nmピクセルではCOG、EPSM、
トライトーン、およびaltPSMレチクルタイプにおいて標準
T+Rモードを使用する。
2
3
4
Trans-only or Refl-only
5
Fast T+R*
6
7
透過光照明モードと反射光照明モード – 最高品質検査
反射光機能をテストした結果、クリアパターン欠陥(ピンホ
ール、クリア突起欠陥、クリアブリッジなど)に対し、透
過光と比べて反射光機能のほうが一般的に高い感度を示し
た。同様に、微細なクリアラインやクリアSRAFの欠陥に対
し、通常、反射光のほうが透過光よりも高い感度を示す。
また、反射光は通常、EPSM材料の異物や残留クロムなどの
不透明な領域上の欠陥に対する感度が高い。したがって、
最高品質検査は、透過光と反射光のdie-to-dieモードまたは
die-to-databaseモードを使用してパターン欠陥と汚染欠陥の両
方を検出することで達成できる。「統合モード」機能を使
用すると、2つ以上の検査モードを、1回のセットアップ、
1回のスキャン、1回のレビュー、および1個のレポートで
構成される1回の検査に統合できる。透過光と反射光の両
方を統合したモードは「T+Rモード」として知られ、die-todieモードとdie-to-databaseモードの両方で使用できる。
Current TeraScanTR
Inspection Time (arbitrary units)
8
9
図10:TeraScanHRはT+R検査において飛躍的にスキャン時間を
短縮。
10
11
12
Difference Reflected
13
14
Clear extension defect
(detected in R not T)
15
16
17
18
19
図11:45nmのアクティブレイヤ−die-to-database反射光モードで検
出されたクリア突起欠陥。
従来の45nmアクティブレイヤ(図11)も、これまでの透過光で
はなく反射光による72nmピクセルdie-to-databaseモードで検査
した。図11に示すように、透過光検査では検出されなかった
クリア突起欠陥が反射光検査で検出された。この新規欠陥は
統合T+Rモードで検出でき、より高品質な結果を提供する。
従来の7xnmハーフピッチDRAMレイヤ(図12)も、これまで
の透過光ではなく、反射光による72nmピクセルdie-to-databaseモードで検査した。図12に、2つのホールにまたがる欠
陥を示す。この欠陥は、透過光検査では検出されなかった
が、反射光検査で検出された。統合T+Rモードで検査する
ことにより、このような欠陥が新しく検出されるようにな
り、さらに高品質な結果をもたらす。
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
Database Image
Difference Image
Optical Image
Defect Map
36
37
38
39
40
41
42
43
図9:72nmピクセル、die-to-database透過光モードで検出された標準より大きいSRAF (光学画像)。Carbonateテストレチクルの32nmノードセクション(150nmメ
インフィーチャと50nm SRAFを含む)。
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
マスク
4xnmハーフピッチDRAMホールレイヤを、HiResディテク
タとLitho2ディテクタの両方を使用したdie-to-die統合T+Rモ
ードで検査した(Litho2は透過光のみ)。レチクルはAMTCで
製造されたもので、透過率6%の標準ArF EPSMである。この
検査では量産感度設定を使用し、擬似欠陥率は低下した。
図13に、検出されたオーバーサイズクリアSRAF欠陥(上の画
像)とアンダーサイズホール(下の画像)を示す。
謝辞
1
新しいTeraScanHRプラットフォームの開発、社内テスト、そ
して先ごろ実施されたフィールドベータテストに貢献してく
ださった以下の個人および組織の皆様に謝意を表する。
2
- TeraScan原型プラットフォームのテクノロジ開発資金にご
協力くださったNIST (National Institute of Standards and Technology)
5
結論
- KLA-TencorのRAPID TeraScanHR開発エンジニアリングチー
ムの皆様
TeraScanHRシステムのテストを、45nmノード(および同等メ
モリノード)を代表する多数のプログラム欠陥テストレチク
ルおよび製品レチクルの他、32nmノードの初期レチクルを
使用してdie-to-databaseとdie-to-dieによる透過光および反射光
照明モードで実施した。トッパンとAMTCで実施したテスト
から得られたデータから、このプラットフォームは、目標
とする感度向上、擬似欠陥率低下、高速スキャンを達成し
たことが実証された。また、大きいピクセルによるテスト
も、現世代のレチクル(65nmと90nm、データは記載せず)を
使用して実施した。
TeraScanHRシステムに新しく導入された高NA光学系、オ
ートフォーカス、72nmピクセルサイズ、アルゴリズムのレ
ンダリングおよびモデリング機能の改善によって微細な線
幅、微細欠陥、アグレッシブOPCの検査機能が大幅に向上
した。新しいイメージコンピュータは、一部の例外を除い
てスキャン時間を短縮することでシステムの生産性を改善
する。生産性の飛躍的向上により、高速T+Rモードは実用
性の高い検査モードとなっている。高速T+Rモード検査を
使用することによって最高の欠陥検査性能を発揮し、業界
で最高品質のレチクルを生産できるようになる。
Difference Reflected
Bridging holes (in R)
(detected in R not T)
図12:7xnmハーフピッチDRAMレイヤ−die-to-database反射光モード
で検出され、透過光モードで検出されなかった2つのホールにまた
がる欠陥。
- 開発および社内テストで使用したレチクルを提供してくだ
さった世界中のレチクルメーカおよび半導体メーカ
- トッパンおよびAdvanced Mask Technology Center*をはじめと
するベータサイトを引き受けてくれたお客様
- データ収集および解析に努めたKLA-TencorのRAPIDアプリ
ケーション開発チーム
*
AMTCは、AMD、Qimonda/Infineon、およびToppan Photomasksの合弁企業である。
3
4
6
7
8
9
10
11
12
13
14
15
参考文献
16
1. W. Broadbent, et al, Results from a new reticle defect inspection platform,
23rd Annual BACUS Symposium on Photomask Technology, Kurt R. Kimmel,
ed., Proc SPIE Vol 5256, pp. 474–488, 2003.
17
2. W. Broadbent, et al, Results from a new die-to-database reticle defect inspection platform, Photomask and Next Generation Lithography XI, Hiroyoshi
Tanabe, ed., Proc SPIE Vol 5446, pp. 265–278, 2004.
19
3. J. Heumann, et al, Detailed comparison of inspection tools: capabilities and
limitations of the KLA 576, 25th Annual BACUS Symposium on Photomask
Technology, J. Tracy Weed, ed., Proc SPIE Vol 5992, p. 599246, 2005.
4. A. Dayal, et al, Optimized inspection of advanced reticles on the TeraScan
reticle inspection tool, 25th Annual BACUS Symposium on Photomask
Technology, J. Tracy Weed, ed., Proc SPIE Vol 5992, p. 599245, 2005.
18
20
21
22
23
24
25
5. K. Bhattarcharyya, et al, Process window impact of progressive mask defects,
its inspection and disposition techniques (go/no-go criteria) via a lithographic
detector, 25th Annual BACUS Symposium on Photomask Technology, J. Tracy
Weed, ed., Proc SPIE Vol 5992, p. 599206, 2005.
26
6. S. Maelzer, et al, High-resolution mask inspection in advanced fab, Photomask Technology 2006, Patrick M. Martin, Robert J. Naber, ed, Proc SPIE Vol
6349, p. 63490S, 2006.
29
7. S. Teuber, et al, Limitations of optical reticle inspection for 45nm node and
beyond, Photomask Technology 2006, Patrick M. Martin, Robert J. Naber, ed,
Proc SPIE Vol 6349, p. 63490T, 2006.
31
8. W. Broadbent, et al., Results from a new die-to-database reticle inspection
platform, Metrology, Inspection, and Process Control for Microlithography XXI,
Chas N. Archie, Ed., Proc. of SPIE, Vol. 6518, p. 651821, 2007.
27
28
30
32
33
34
35
36
37
Under-size holes ~ 5% flux error
38
39
40
41
42
43
図13:72nmピクセルによる統合T+Rモードを使用したdie-to-dieモー
ドで検査した4xnm DRAMホールレイヤ。
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
データストレージ
1
2
3
硬質ディスク研磨における化学機械平坦
化 (CMP) スラリー開発に向けたレーザ使
用欠陥検出システムの活用
Toshi Kasai, Charles Dowell – Cabot Microelectronics Corp
Anoop Somanchi – KLA-Tencor Corporation
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
ハードディスク製造では、CMPスクラッチの特性評価がデバイスの信頼性向上の鍵となる。エリプソメータ、反射率計、散乱
19
計、および光学プロファイラを完備したKLA-TencorのCandelaTM光学表面アナライザ(OSA)システムを欠陥検出に使用すると、検
20
出の調整と整合性が可能となり、手動検出の主観性を排除できる。Candela OSAテクノロジでは、従来の暗視野顕微鏡(DFM)に
21
比べてばらつきが大幅に低減したことが実証され、小さなスクラッチ(10μm以下)の識別も容易になった。
22
23
24
25
はじめに
ハードディスクドライブ(HDD)業界では、10年前からデー
タ容量の大型化に対する需要の高まりを受けて、いくつか
の技術が実現されている(1)。ヘッドディスクインタフェース
(HDI)の観点から、浮上読み取り/書き込みヘッドとディスク
メディア間の距離を近づけることが、HDDの高データ密度
を実現するための主流となっている。ヘッドとディスクの
間の間隙を最小にするために、ディスク表面の粗さを抑制
する必要があるが、より重要なことは、スクラッチや異物
などの表面欠陥の数を少なくしてHDD動作の機械的信頼性
を向上させることである。
化学機械平坦化(CMP)プロセスは硬いディスク表面を平坦化
するための重要なステップである(2)。一般に、CMPは、ポリ
マーパッドと接触するディスク表面の化学反応と機械的研
磨を、複雑な化学的性質と研磨剤を含んだスラリーと組み
合わせ負荷をかけて実現される(3)。硬質ディスクスクラッチ
欠陥性能にスラリーが大きな影響を持つことは認識されて
いる。スクラッチは、取扱い、化学的性質の不平衡、また
はスラリー内の大きな研磨異物が原因で生成される。その
ため、スラリー開発には一貫したスクラッチ特性評価技術
を活用することが不可欠である。
硬質ディスクサブストレート上のスクラッチ特性評価に
は、いくつかの検出システムを使用できる。1つの例は、
暗視野顕微鏡(DFM)をベースにしたスクラッチカウントツ
ールである。DFMは便利で使いやすいが、DFMを使用し
たスクラッチカウントや解析は、主観的になりやすくオペ
レータへの依存度が大きいことで知られている。DFMを手
動で操作すると、相対的に再現性と再現精度(R&R)が低く
なり、欠陥分類(サイズ別など)が煩雑になる。また、欠陥
数が比較的多いため、異物の総数を把握することも困難に
なる。
レーザを利用した光学表面アナライザ(OSA)システムの最
近の開発により、表面形態に関する再現性と信頼性が向上
している (4-6) 。たとえば、一連のCandela装置にはエリプソ
メータ、反射率計、散乱計、および光学プロファイラが完
備している (6-8)。それぞれの操作モードやモードを組み合わ
せて、タイプ、数や場所などの固有の欠陥データを使用し
て欠陥を検出できる。さらに、多目的欠陥スキャンおよび
解析レシピを使用すると、構造的な検出調整と整合性の実
現が可能になる。これは、手動検出の主観性の排除に役立
つ。Candelaツールは、欠陥識別用にハードディスクドライ
ブ業界で広く使用されている。
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
データストレージ
このレポートでは、Candela装置で測定した硬質ディスクに
対する欠陥検出レシピとスクラッチカウント結果の最近の
開発について述べる。Candelaでコンパイルされたスクラッ
チデータを、従来のDFMや別のタイプのOSAツールを使用
して取得したスクラッチデータと比べる。レシピパラメー
タの調整が整合性のあるスクラッチカウントの取得の鍵と
なることがわかる。この選択肢はDFM技術では使用できな
い。スクラッチ欠陥解析にCandelaツールを使用する他の利
点についても述べる。
方に設置し、ディスク表面の暗視野画像をモニタに表示す
る。この研究ではCCDカメラの倍率を10倍にした。光をデ
ィスク表面に対して浅い角度で入射し、CCDが反射光を直
接収集しないようにした。ディスクに欠陥があると、入射
光は散乱し、散乱信号はCCDカメラによって検出される。
欠陥は、モニタでは暗い背景上の明るい画像として表示さ
れる。オペレータはディスクを回して欠陥を検査し、欠陥
数を手動で数える。DFM技術を使用する利点は、Candelaツ
ールに比べて、相対的に処理時間が短いこととセットアッ
プが容易なことである。
1
2
3
4
5
6
7
8
9
実験
Candela欠陥検出システム
CandelaCS10は、405nmレーザを利用した多機能ツールである。
図1に示すように、このシステムには円周方向レーザと半径方
向レーザと呼ばれる2つのレーザがある。2つのレーザビーム
は、サンプルの解析ポイントを90度の角度で照射する。装置
には、いずれかのビームか、または両方のレーザで同時にデ
ィスクをスキャンする機能がある。信号検出は、反射ビーム
と散乱ビームを対象に2つの検出チャネルを使用して行う。ス
クラッチおよび異物欠陥の識別には、光電管(PMT)ディテクタ
で構成された散乱チャネルを使用する。このモードで、レー
ザは、サンプル表面と相互作用して散乱信号を生成し、この
Candela CS10 system apparatus
Circumferential
laser
Scatter channel
Reflection
channel
Disk sample
図1:Candela C10に使用するXビームテクノロジ。半径方向レーザビ
ームと円周方向レーザビームを直角に交差させて、ディスク表面に
当て、散乱信号が生成され散乱チャネルによって収集される。
信号は散乱信号画像で明るい領域として表示される。入射レ
ーザでは3つの偏光モード、P、S、およびQ (PとSの組み合わ
せ)を使用できる。測定時、ディスクが回転すると、レーザ光
源と検出システムは、ディスクサンプルの全領域がスキャン
できるように横方向に移動する。
暗視野顕微鏡(DFM)スクラッチ検出システム
DFM技術は複数の光源を使用して、異なる角度からディス
ク表面を照射する。荷電結合素子(CCD)カメラをディスク上
CandelaおよびDFMのサンプル準備と実験セットアップ
10
CMPプロセスに先立ち、ディスクサンプルを研磨しニッケ
ルリンをめっきした。9ディスクの内径、外径、厚みは、
それぞれ25mm、95mm、1.27mmである。ディスクは数種
のCMPスラリーで研磨し、洗浄した後に欠陥検査に送られ
る。取扱いが原因と思われる残留化学物質と汚れはミスカ
ウントにつながるため、ディスクのクリーン度はスクラッ
チ検査に重要な影響を持つ。
11
Candela測定手順は、ディスクを装置のプラテンに載せると
自動的に実行される。データ出力では、各ビンのスクラッ
チおよび異物の分布状況とともに、ディスク上の欠陥の場
所を示すマップが表示される。収集された欠陥データはサ
イズ別に5つのビンに分類される。スクラッチ欠陥ビンの名
目上の設定は、次のとおりである。ビン1:20∼100µm、ビ
ン2:100∼500µm、ビン3:500∼1000µm、ビン4:1000∼
5000µm、およびビン5:5000µm以上。
12
13
14
15
16
17
18
19
20
21
22
23
DFM技術では、スクラッチの数を手動カウンタで数える。
スクラッチの長さの解析は、モニタに表示された各スクラ
ッチの長さを分類用のスケールを使用して測定した。固有
の検査作業として、スクラッチを4つのグループ、短くて
(2mm以下)浅い、短くて深い、長くて(2mm以上)浅い、長く
て深い、に分類した。スクラッチの深さは、モニタに表示
された欠陥の明るさに応じて目視検査で予測した。
24
ディスク上の解析領域は、DFMでは中径(MD)から外径
(MD)までの範囲、Candelaでは内径(ID)から外径(MD)までの
範囲である。そのため、スキャン領域はCandelaではDFMよ
りも約1.5倍大きくなった。
30
25
26
27
28
29
31
32
33
34
OSA-2スクラッチ検出システム
顧客サイトで使用する別のOSAツールについては、後に紹介
しCandelaツールと比較する。このツールもプローブとして
レーザを使用し、スクラッチの検出に散乱信号を採用して
いるが、Candelaテクノロジは使用していない。このツール
は元々顧客が開発したもので市販されていないため、ここ
では便宜的にOSA-2と呼ぶことにする。機密情報があること
から情報はごく限られているため、関連性を見つけること
は困難な作業であった。次のセクションに述べるように、
このツールとの関連性を明らかにするうえで、Candelaツー
ルの調整機能は大きな役割を果たす。
35
36
37
38
39
40
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
データストレージ
Beam
direction
Scratch
visible
Particle
(a)
200µm
Beam
direction
Scratch
visible
Particle
(b)
200µm
図2:(a)半径方向レーザと(b)円周方向レーザを使用した研磨済み硬
質ディスク表面のCandela散乱信号画像。太い矢印は入射ビーム方向
を示す。
結論と考察
Candelaレシピ作成の課題
測定前にスキャンレシピと解析レシピを最適化しておく必
要がある。このセクションでは、スクラッチを検出するた
めのCandelaレシピの重要なパラメータの影響について詳し
く調べる。
図2は、(a)半径方向レーザと(b)円周方向レーザを使用した研
磨済み硬質ディスク表面のCandela散乱信号画像を示す。図
では横方向がディスクサンプルの円周方向と平行になる。
図を見てわかるように、入射レーザの方向が欠陥の見え方
に大きな影響を与える。円周方向スクラッチは、角度の向
きがディスクの円周方向に沿っており、半径方向レーザ(図
2(a))を使用すると見えるが、円周方向レーザ(図2(b))を使用す
ると見えなくなる。この異方性が発生するのは、レーザビ
ームの方向とスクラッチの長手方向との角度が90度に近く
なるほど散乱信号が多く生成されるためである。2つのレー
ザを使用する利点は、スクラッチの向きの影響を最小にで
きることである。半径方向スクラッチに的を絞るのであれ
ば、装置が半径方向スクラッチを検出できるように意図的
に半径方向レーザを使用することができる。これが該当す
るのはディスクをCMPの後にテクスチュアリングプロセス
に送る場合である。テクスチュアリングプロセスでは均一
で制御されたスクラッチが形成され、そのスクラッチに沿
って磁気層蒸着プロセスで磁気結晶が成長する(9)。
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
テクスチュアリングを円周方向に行う場合、半径方向スク
ラッチがあることは好ましくない。この研究では、両方の
レーザを使用可能にして総合的に解析した。図3は、硬質デ
ィスク表面で検出されたスクラッチ欠陥の原子間力顕微鏡
(AFM)による高さ画像(a)とその断面図(b)を示す。スクラッチ
の幅と深さは通常それぞれ1µm以内と数ナノメートルであ
る。Candelaシステムでは幅0.1µm深さ1nmのスクラッチがは
っきりと観察されることが実証されている。
1
図2でもわかるように、異物欠陥は両方の画像で認識でき
る。異物の画像はレーザ方向に長くなるが、散乱信号はビ
ーム方向とは比較的無関係である。その理由は、入射面で
レーザビームの入射角が通常と約60度の角度になるためで
ある。長くなったパターンはスクラッチに似ており、スク
ラッチと異物の区別がしにくくなる場合がある。この問題
は、解析レシピでアスペクト比などのスクラッチ分類パラ
メータを最適化することで解決できる。
8
エンコーダ乗数の設定や光電管(PMT)の電圧が、S/N比に大き
な影響を与えることが判明している。エンコーダ乗数の設定
は、各半径位置の円周データポイントの数を定義する。たと
えば、エンコーダ乗数の設定を64倍にすると、1つのラジアル
位置で64 x 1,024 (=65,536)のデータポイントを確保できる(7)。図
4は、エンコーダ乗数とPMT電圧を次のような3つの異なる設
定にして、画像中央付近で範囲を固定したときの1つのスク
ラッチのCandela散乱信号とその断面図を示す。(a) 16倍および
475V、(b) 16倍および525V、(c) 64倍および475V。
スクラッチとS/N比のピーク強度を表1に示す。断面解析か
ら得られたピーク強度は、平均バックグラウンドノイズレ
ベルから測定したピークの振幅(%)である。単位はツールが
指定するPMT出力電圧の割合として表わされる。S/N比は、
バックグラウンドノイズの最大振幅に対するピーク強度の
割合として定義される。事例(a)のS/N比は1.2で、信号がバ
ックグラウンドノイズと明確に区別されないことを示す。
PMT電圧を475 Vから525 V (事例(b))に引き上げると、S/N比
は1.2から2.1 (1.8倍)に大幅に上昇する。また、エンコーダ
乗数を増やすと、S/N比は2.4倍改善された(事例(c))。両方の
パラメータを大きくすると、散乱信号が飽和した。そのた
め、この研究ではエンコーダ乗数の設定を64倍、PMT電圧
を475Vにした。
スキャン後、欠陥サイトを特定するために、取得した散乱
信号画像を解析レシピを使用して処理した。解析レシピパ
ラメータの最適化も非常に重要である。ここで鍵となるパ
ラメータは、欠陥サイトとして認識される最小散乱信号強
度を定義するスレッショルドパラメータである。レシピパ
ラメータを最適に設定するために、次の操作を行った。最
初に、散乱信号画像を解析レシピを使用して処理し、スク
ラッチカウントを記録した。次に、同じ散乱信号画像をオ
ペレータの目視検査で確認し、オペレータが認識したスク
ラッチを手動でカウントした。最後に、2つのスクラッチ
カウントを比較した。オペレータによるスクラッチカウン
トが正確で精度が高く、Candelaスクラッチカウントを評
価する標準として使用できると考えた。エラーには2種類
あり、タイプIのエラーは、スクラッチ欠陥があるにもか
2
3
4
5
6
7
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
データストレージ
5.0
5.0
B
(nm)
A
0
(a)
-5.0
5.0
(µm)
0
(b)
5.0
(µm)
図3:(a)CMP後の硬質ディスク上スクラッチのAFM画像および(b)矢印で示すスクラッチのABライン部分の解析。
33610µm
187.90
191.75 deg
Units
33235
32860
32485
(a)
33610µm
187.90
Angle (°), Radius (µ)
191.75 deg
Units
33235
32860
32485
(b)
34250µm
189.39
Angle (°), Radius (µ)
190.35 deg
Units
33875
33500
33125
Angle (°), Radius (µ)
(c)
図4:エッチングテスト条件を変えたときの測定CDと平均画像の比較。
かわらず、Candelaがスクラ
ッチ欠陥を認識しない場合
に発生し、タイプIIのエラー
は、Candelaが実際にはスク
ラッチ欠陥ではないものをス
クラッチとして分類した場合
に発生する。これらの分類を
表2に示す。エラーの可能性
は、解析レシピに設定したス
レッショルドパラメータによ
って決まる。スレッショルド
が高くなるとタイプIIのエラ
ーは少なくなるが、タイプ
Iのエラーは増え、その逆も
同様である。円周方向レー
ザおよび半径方向レーザの散
乱信号の両方に対して最適化
されたスレッショルドパラメ
ータの値は、0.12%であるこ
とがわかった。4つのディス
クサンプルの関連するスクラ
ッチカウントを表3に示す。
タイプIおよびタイプIIのエ
ラー発生率は、それぞれ平均
30%と2%である。共に0%が
理想的ではあるが、2つのエ
ラーは相互に相反する関係に
あるため達成は困難である。
タイプIIのエラーをゼロに近
づけると共にタイプIのエラ
ーを最小に抑える条件を選択
した。後でわかるように、ス
レッショルドの設定は調整機
能の研究では大きな役割を果
たす。
上述のように開発されたスク
ラッチ識別システムは、パラ
メータの調整が必要になると
はいえ、集積回路(IC)シリコ
ンウェーハなどの他のタイプ
のサンプルにも適用できる。
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
事例(a)
事例(b)
事例(c)
35
エンコーダ乗数
16X
16X
64X
36
PMT電圧(V)
475
575
475
37
ピーク強度(%)
0.04
0.16
0.12
38
S/N比
1.2
2.1
2.9
39
表1:Candelaでエンコーダ乗数およびPMT電圧の設定を変えたときのピーク強度とS/N比。
41
自然状態
Candelaによる判定
40
スクラッチ
スクラッチではない
42
スクラッチ
合格
タイプIIエラー
43
スクラッチではない
タイプIエラー
合格
44
表2:Candelaレシピ確認テスト用のタイプIエラーおよびタイプIIエラーの定義。
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
45
46
データストレージ
ディスク
サンプル
番号
スクラッチカウント
タイプ
Iエラー
(%)
タイプ
IIエラー
(%)
正解
間違い
検出なし
合計
1
39
0
17
56
30
0
2
11
2
1
12
8
15
3
7
0
8
15
53
0
4
30
0
12
42
29
0
総計
87
2
38
125
30
2
表3:タイプIおよびタイプIIエラーの評価に使用したCandelaスクラッチカウ
ントデータ。
Candela C10
DFM
光源
紫外線レーザ
(405 nm)
白色光
光源数
2
複数
検出器
光電管(PMT)
CCD
空間分解能限界
>4 µm
~100 µm
スクラッチカウントに対す
る計測R&Rの研究偏差(%)
7%
25%
1 mm
1 mm
(b)
図5:(a) Candela技術と(b)暗視野顕微鏡(DFM)技術を使用した硬質デ
ィスク表面画像。
Candela vs DFM (long scratches)
40
Regression
95% Confidence Interval
Candela counts (>1mm)
30
Y = 0.56 X + 3.4
R² 70.7%
20
10
0
10
20
30
1
図5は、(a) Candelaと(b) DFMを使用して見た同じ場所のディ
スク表面画像を示す。Candela画像は、図の上方から、P偏
光半径方向レーザを使用して得られた。2つの画像の差は、
Candelaツールの実験セットアップについて考察した前のセ
クションで説明したとおりである。DFM画像の中央下部に
わずかに見える半径方向スクラッチは、Candela画像では認
識できない。一方、斜めに横切っている円周方向スクラッ
チはCandela画像の方がよく見える。Candela画像では異物が
ビーム方向と平行に伸びている。両方の画像とも左下隅の
汚れは明るく表示されている。
2
ツール間の代表的な差を表4に示す。空間検出限界はDFMよ
りもCandelaの方がずっと小さい。Candelaの場合、検出限
界はレーザスポットサイズ(4µm)によって決められるが、
DFMの場合、限界はCCDカメラの解像度とオペレータの視
力によって決まる。計測R&Rの研究偏差は、再現性、再現
精度、およびパーツ間ばらつきを含む総合的なばらつきに
対するR&Rの影響として定義される。一般的に、値が9%以
上になると、システムを改善する必要がある。10個のサン
プルでCandelaの研究偏差は7%であった。これは、R&R性能
が許容できることを示す。他方、DFMの研究偏差は25%で、
DFMでは手動操作が多く必要となることを考慮すると、十
分とはいえない。
表4:Candela C10技術と暗視野顕微鏡(DFM)技術の特性比較。
(a)
CandelaとDFMの相関
40
DFM counts (>2mm)
図6:Candelaの長いスクラッチカウント(1 mm以上)とDFMの長いス
クラッチカウント(2 mm以上)の関連性。
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
DFMとCandelaのスクラッチカウントに関する比較研究を行
った。図6は、DFMの長いスクラッチカウント(2mm以上)と
Candelaの長いスクラッチカウント(1mm以上)の相関を示す。
スクラッチカウントのばらつきは、スクラッチ性能の異な
る数種のスラリーを使用したためである。直線回帰相関係
数R 2は71%であった。このことから、DFMとCandelaのスク
ラッチカウントの関連性はほんのわずかであることがわか
る。通常、十分な相関があると見なされるためにはR 2は少
なくとも75%必要である。10 また、回帰線が95%信頼レベル
でラインy = xとならないため、関連性は一致しない。これ
は、DFMシステムの低い計測R&Rを含む、2つの装置の検出
システムの違いによるものであると考えられる。表5に示す
ように、さまざまなサイズ別におけるスクラッチカウント
で相関を調べた。最も大きなR2は71%で、前述の場合に見ら
れた。
21
図6の回帰線の勾配は0.56であり、1以下である。これは、解
析条件のもとで、DFMが検出したスクラッチをCandelaでは
検出されなかったことを示唆する。スキャン領域の差(Candelaのスキャン領域はDFMよりも約1.5倍大きい)とカテゴリ
別に分類したスクラッチのサイズ(Candelaでは1mm以上、
DFMでは2mm以上)を考慮すると、Candelaが見逃したスクラ
ッチの全体的な数はさらに増えると思われる。この食い違
いの最も大きな原因として考えられるのは、Candela装置は
浅いスクラッチからの散乱信号が比較的小さいため浅いス
クラッチに対する感度が低いことと、前述したようにツー
ルのスレッショルド設定の問題である。この仮説は、表5に
示すデータによって裏付けられる。前述の比較からDFM検
出で深いスクラッチのみをカウントした場合、回帰線の勾
配は1.5となり、0.56増加している。
33
22
23
24
25
26
27
28
29
30
31
32
34
35
36
37
38
39
40
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
データストレージ
Candelaスクラッチサ
イズ別
DFMスクラッチサイズ別
a
(勾配)
b
(y切片)
R2 (%)
Candela調整機能とCMPスラリー
スクリーニングにおける使用
異なるスクラッチ性能を示
すことが予測される一連の
スラリーを使用して、ディ
合計
合計
3
2.8
84
56
スクサンプルセットを研磨
合計
4
0.1 mm>
0.99
35
57
した。これらのディスクは
合計
5
0.2 mm>
0.53
18
64
OSA-2レーザ使用光学表面
アナライザで検査し、「合
表5:サイズ別のCandelaおよびDFMスクラッチカウントの回帰解析。
格スクラッチ」と「不合
サンプル:G1
散乱強度範囲(%)
格スクラッチ」の2つのグ
スクラッチ
下限(µm)
上限(µm)
0.12 – 0.2
0.2 – 0.3
0.3 – 0.4
>0.4
ループに分類した。次に、
ビン
同じディスクをCandelaと
1
20
100
179
31
23
15
DFMによって解析した。
図7(a)および(b)に示すデー
2
100
500
76
8
9
12
タは、それぞれCandelaと
3
500
1000
7
4
0
2
DFMの合計スクラッチカウ
4
1000
5000
0
9
3
1
ントである。各グラフは、
5
5000
N/A
6
0
0
1
OSA-2ツールによる分類を
合計
268
52
35
31
採用している。このセクシ
ョンではCandelaのスレッシ
表6:散乱強度範囲と長さビンを使用したCandelaスクラッチカウントの分類例、図8(a)のデータプロットに使用。
ョルド設定に焦点を当て、
図7(a)の測定では0.12%の
値を選んだ。スラリーの識
(a) Candela: Total scratches
(b) DFM: Total scratches
500
500
別では、文字と番号の組
Good
Good
み合わせを使用し、OSA-2
Scratch rejected
Scratch rejected
400
400
に よるカテゴリのうち「合
格」を「G」、「不合格」を
300
300
「R 」 の 文 字 で 表 し 、 使 用
したスラリーを番号で表し
200
200
ている。スラリー1は標準
100
100
硬質ディスクスラリーであ
る。スラリー2、3、および
0
0
4には、スクラッチ低減添加
G1
G2
G3
G4
R1
R2
R3
R4
G1
G2
G3
G4
R1
R2
R3
R4
物が含まれ、濃度はそれぞ
Disk sample number
Disk sample number
れ100、1,000、および4,000
(単位:相対濃度)である。
図7:別のレーザー使用欠陥検出システム(OSA-2)によって分類された「合格」および「不合格スクラッチ」に
Candela合計スクラッチは、
(a) Candelaと(b) DFMを使用して測定した合計スクラッチ数。使用したOSA-2カテゴリとスラリーはディスクサ
明らかにスクラッチ制御添
ンプル番号によって特定される。スラリー1は、硬質ディスク用の標準CMPスラリーで、スラリー2∼4には、
加物の影響が見られる。こ
濃度の異なるスクラッチ低減添加物が含まれている。
の傾向はDFM技術ではそれ
ほど見られない。ただし、
OSA-2ツールでは添加物の影
前述したように、現在のパラメータ設定ではCandela散乱信
響は観察されていない。これはOSA-2では異なる分類方法
号は浅いスクラッチを検出する可能性が低いことが実験で
を採用しているためと思われる。次の課題は、Candelaを使
証明されている。このことは必ずしもツールの弱点にはな
用してOSA-2で整合性のある結果を得る方法を見つけるこ
らない。長さ、深さや幅などのスクラッチ形状に関する有
とである。
意義な情報を提供する機能は、単に欠陥をカウントするよ
CandelaとDFMの相関を得ようとした場合と同様に(表5を参
りも重要である。Candelaの利点は、次のセクションで説明
照)、スクラッチの長さを選んでスクラッチカウントを比べ
するように、目標のスクラッチ形状の関数として欠陥を認
ることにより明らかな相関を見出そうとした。OSA-2ではス
識する柔軟な調整機能にある。
2 mm>
0.56
3.4
71
1 mm>
2 mm>で深い
1.5
3.9
70
Scratch count
1 mm>
2
Scratch count
1
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
データストレージ
クラッチの幅や深さと併せてスクラッチの長さで欠陥を分類
するということが後になってわかった。この情報から、散乱
強度も追加して重視すべきであったという結論に達した。ス
クラッチ欠陥とレーザビーム間の相互作用から生じる散乱信
号には、スクラッチの幅と深さの情報が強度に含まれている
可能性がある。標準的なCandela分類技術にはこの機能がな
い。スレッショルド値よりも高い散乱信号を示すスクラッチ
欠陥サイトは、すべて欠陥であると見なされる。スクラッチ
欠陥を散乱強度で分類するために、散乱信号データを別のス
レッショルド値で再度解析し、得られたスクラッチカウント
を散乱強度範囲に応じて手動で分類した。
図7(a)のG1サンプルに対するこのような分類例を表6に示す。
スクラッチは、長さと散乱強度に応じて分類されている。重
要なスクラッチの数がこの表から特定される。強度が0.4%以
上のスクラッチ欠陥は、長さにかかわらず最も大きい欠陥と
して分類され、すべてがスクラッチとして数えられる。強度
が0.3∼0.4%の範囲のスクラッチ欠陥は、長さが500µm以上
であれば2番目に大きく、スクラッチとして分類される。同
様に、強度が0.2∼0.3%の範囲のスクラッチ欠陥は、長さが
5000µm以上であれば、3番目に大きなものと認識されスクラ
ッチとして分類される。上記のスクラッチカウント小計は、
新しいスクラッチ分類カウントに基づいている。
図8(a)は、図7(a)のディスクサンプルを新しい定義に基づいて
数えたスクラッチカウントを示す。OSA-2による「合格」と
「不合格」という2つのカテゴリが明確に識別されている。
Candela測定によるスクラッチ数が40以下の場合、OSA-2ではデ
ィスクを「合格」と評価するが、40以上の場合はディスクを
「スクラッチ不合格」と評価する。この結果は、他の欠陥計
測ツールとの相関を明確にするには、散乱強度がもう1つの重
要なパラメータとなることを示唆する。データによっては相
関が不十分であるが(図7(a)および8(a)の間に見られるスクラッ
チカウントプロファイルの差など)、図7(a)および8(a)の間のス
クラッチカウントプロファイルの差は有意義である。多分、
解析パラメータの最適化が相関の向上に役立つであろう。
DFMデータの場合、OSA-2検出では散乱強度が大きくなるほど
スクラッチがはっきりするという結果があることから、深く
て長いスクラッチを選んでOSA-2との相関が明確になる1を得
ようと試みた。図8(b)に示すように、Candelaでは4となった相
関はDFMスクラッチカウントでは不明確であった。
1
Candelaシステムでは、長さ、
深さや幅などのスクラッチ形状
に関する有意義な情報だけでな
く、目標のスクラッチ形状の関
数として欠陥を認識する柔軟な
調整機能がある。
4
データ解析は、Candelaのスレッショルド設定を相対的に高
くすると、CandelaとOSA-2の相関が明確になることを示す。
表6に示すように、G1サンプルではスクラッチの大部分で
散乱強度が0.2%以下である。スクラッチ制御添加物の使用
は、このカテゴリのスクラッチ数の低減に有効であるが、
OSA-2検出が主に対象とする散乱強度が高いスクラッチの
排除には効果が低い。この認識は新しいCMPスラリー製品
の開発に重要な意味を持つ。スクラッチ低減に関する顧客
の要求を十分に満たすには、どのスクラッチ形状(深さ、長
さ、幅、および方向)に焦点を絞るかなど、スクラッチ定
義の基準が非常に重要である。さまざまなスクラッチは種
類によって根本的な原因が異なる。短くて浅いスクラッチ
は、研磨異物が凝集したかディスク材料から生成された異
物が原因であろう。長くて深いスクラッチは、除去されず
にスラリーに入り込んだ大きな異物が原因と思われる。原
因となるメカニズムに応じて、CMPスラリー開発の手法は
異なる。必要なスクラッチ情報を柔軟かつ効果的に提供で
きるレシピ指向のCandelaツールを使用すると、スラリー開
発作業は大きく前進する。この研究から、計測ツールから
必要な情報を抽出し選択することが、スラリー製品開発の
鍵となることを学んだ。
2
3
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
(a) Candela: Intensity & length categorization
32
(b) DFM: Intensity & length categorization
150
33
20
Good
Scratch rejected
Good
34
Scratch rejected
35
15
36
Scratch count
Scratch count
100
50
37
10
38
39
5
40
41
0
42
0
G1
G2
G3
G4
R1
R2
R3
R4
Disk sample number
G1
G2
G3
G4
R1
R2
R3
Disk sample number
R4
43
44
図8:図7のディスクサンプルについて、(a)散乱強度と長さで分類したCandelaスクラッチカウントおよび(b) DFMスクラッチカウント(深さと長さ)。
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
データストレージ
この調整機能は、現在Candelaシステムでは標準手順とし
て使用できない。システムにこの機能を追加するには、
Candelaスクラッチ解析プロセスの複雑な設計変更が必要に
なろうが、ツールの柔軟性は増す。
謝辞
1
著者は、データ収集についてLi Wang氏に、このプロジェク
トを完成するにあたり行われた有意義な討論と提案につい
てFrancois Batllo、Haresh Siriwardane、Edward Remsen、Vamsi
Velidandla、およびLaurie Bechtlerの各氏に感謝する。
2
結論
レーザ使用光学表面アナライザ(Candela CS10: KLA-Tencor Corp.)
および暗視野顕微鏡(DFM)技術を使用したスクラッチカウン
トの評価。
1. 測定器の再現性および再現精度(R&R)研究によれば、Candela
ツールの研究偏差は7%である。これはR&R性能として許容
できる範囲にある(9%以下)。一方、DFMには25%の偏差があ
り、この不一致はDFMの手動操作によるところが大きい。
2. CandelaとDFMスクラッチカウントの相関は、特定のスクラ
ッチサイズ別でR2が71%である。相関がこのようにわずか
であったのは、DFM測定機能のR&Rが相対的に低く、ツー
ル間の検出システムが異なるためである。
3. 検出、解析、およびデータ処理システムが異なるため、使
用したパラメータ設定では、CandelaはDFMに比べて小さい
スクラッチ(10µm以下)を簡単に検出し、不明瞭なスクラッチ
に対する感度は低いことを実証した。
4. 標準スレッショルドを使用したCandelaスクラッチカウント
では、スクラッチの低減に対するCMPスラリー添加物の影
響が明らかに実証された。この影響は、上述した解像度の
制限のため、DFM技術では明らではなかった。
5. Candelaの感度調整機能により、別のレーザ使用スクラッチ
検出ツールで得たスクラッチカウントと関連させることが
可能になった。これはDFM技術を使用しては実現されなか
った。スクラッチ欠陥の基準に応じて、Candelaはレシピの
変更に合わせて必要なスクラッチ情報を抽出できる。
参考文献
1. Sarid D, McCarthy B and Jabbour G E, 2004 Nanotechnology for data
storage applications, in bhushan B (ed.), Springer Handbook of Nanotechnology Springer-Verlag, Heidelberg, Germany.
2. Lei H and Luo J 2004, CMP of hard disk substrate using a colloidal SiO2
slurry: preliminary experimental investigation, Wear 257 pp. 461–70.
3. Michael R. Oliver (ed.) 2004, Chemical mechanical planarization of
semiconductor materials, Springer-Verlag, Heidelberg, Germany.
4. Knollenberg R G, A polarization diversity two-color surface analysis system,
1987 Journal of Environmental Sciences 30, pp. 35–8.
5. Takami K, 1997, Defect inspection of wafers by laser scattering, Mat. Sci.
Eng. B 44 pp. 181–7.
6. Meeks S W 2003, Optical surface analyzer inspects transparent wafers, Laser
Focus World 39 pp. 105–6, 8.
7. Bechtler L, Velidandla V and Lane G, 2003, Optical surface analysis of
transparent substrates for manufacturing applications, Proceedings of
Electrochemical Society 2003-3, pp. 540–7.
8. Candela Instruments, 2003, Optical Surface Analyzer C10 User s Manual,
Software version 1.0, Rev 1.0.
9. Johnson K E, Mate C M, Merz J A, White R L and Wu A W, 1996 Thin
film media - current and future technology, IBM J. Res. Develop. 40 (Sept).
10. Miller J N and Miller J C, 2000, Statistics and chemometrics for analytical
chemistry, 4th ed. Pearson Education Limited, Edinburgh Gate.
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
製品ニュース
1
2
3
4
5
6
7
8
9
2810 and 2815
10
11
12
明視野パターン付きウェーハ検査装置
13
14
メモリメーカは、アスペクト比の高い密集した繰り返しパターンにおいて微細な欠陥を高ス
ループットで検出する必要がある。ロジックメーカは、新しい材料と急速に変化するプロセ
スを採用した複雑なロジックパターン、および、密集した繰り返しパターンにおいて、重
大な欠陥をすべて検出する必要がある。これらの欠陥検査条件の要求に加えて、新しいプ
ロセスの歩留まりを短期間で向上させるために、感度と処理速度を向上させる必要がある。
2810と2815は、業界初のメモリおよびロジック専用フルスペクトル明視野検査装置であり、
デバイスタイプごとにカスタマイズされた機能によって歩留まりの問題を解決できる。KLATencorの包括的なウェーハ検査ポートフォリオを構成する281x明視野検査装置は、55nm以降
のノードのメモリと45nm以降のノードのロジックの製造に有効なライン監視およびエンジニ
アリング解析機能を備えている。
281xは、既に多くの実績を持つ2800シリーズフルスペクトルDUV/UV/可視光明視野検査装置
をベースにしており、メモリ用およびロジック用にカスタマイズされた光学モードとアルゴ
リズムを使用して、すべてのプロセスレイヤ上で歩留まりにとって重大な欠陥を広範に捕捉
する。281x検査装置は、選択可能なスペクトル照明光源とピクセルに依存しない高いNAを備
えている。それによって、材料のコントラストを高め、重要で無い欠陥を抑制し、改良され
た自動欠陥分類機能を使用して、有効な欠陥パレートチャートを生成できる。スループット
が2800のほぼ2倍に向上した281xを使用して、歩留まりを短期間でシステマチックに向上で
き、重要なエッチング、CMP、およびフォトプロセスのラインモニターおよび欠陥低減を行
うことができる。281xには、プロセス開発に必要な柔軟性、量産現場での信頼性、次世代ノ
ードおよび新しいデバイステクノロジへの拡張性が備わっている。
90nm Pixel (BBDUV BF)
50nm Pixel (BBDUV BF)
Pattern
Line
Thinning
Bridge
Particle
SEM NonVisual/Bump
Defects of Interest
100
2800
2810
75
50
|
カスタマイズされ た 光 学 モ ー
ドと選択可能なフルスペクトル
DUV/UV/可視光照明によって、あ
らゆる工程の対象欠陥に対して
高い感度を実現
最高の量産加重平均スループッ
ト(WATIP)により、サンプリング数
の増加、所有コスト(CoO)の低減、
または高感度検査が可能に
他のKLA-Tencor検査装置および
レビュー装置との共通性および
接続性により、検査装置の処理
能力を最大限に引き出し、量産
ラインの統合時間を短縮
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
量産現場で実績のある、拡張性
の高い確立されたツールアーキ
テクチャによって、複数のテクノ
ロジノードに対応する信頼性の
高いライン監視機能を実現
30
プロセスウィンドウクォリフィケ
ーション(PWQ)アプリケーション
は量産に移行する前にデザイン
を評価し改善に寄与する
35
31
32
33
34
36
37
38
39
25
40
0
Layer 1
Equivalent
Throughput
新しい50nmピクセルによって重大なブリッジ欠陥
の捕捉が2倍に増加したことを示す2815の欠陥パレ
ートチャート。業界最小のピクセルにより、対象欠
陥の捕捉が改善され、プロセス異常の早期検出が可
能になる。
2007年冬号 歩留まり管理ソリューション
Normalized Defect Count
Defect Count
2810または2815の具体的な使用事例と歩留まり問題へのご質問については、
Mark Shirey ([email protected])までお問い合わせください。
281xの特長
Layer 2
Layer 3
2810: 75– 80% throughput
improvement over 2800
スループットが向上し、メモリ用にカスタマイズさ
れた新しいパターン抑制モードを備えた2810は、
3つのメモリデバイスの前工程でスループットが
2800を超え、感度が向上した例を示している。
www.kla-tencor.com/ymsmagazine
41
42
43
44
45
46
製品ニュース
1
2
3
4
5
6
7
8
Puma™ 9150
9
10
11
12
暗視野パターン付きウェーハ検査装置
13
14
Puma 9150の特長
新しい光学モードとStreak暗視
野イメージングテクノロジによ
り、幅広いアプリケーションで欠
陥タイプの捕捉を改善
必要な感度で最高の量産スルー
プットを維持することによって、
歩留まりサンプリング数を増や
し、CoOの低減を可能に
他のKLA-Tencor検査装置および
レビュー装置との共通性および
接続性により、検査装置の処理
能力を最大限に引き出し、量産
ラインの統合時間を短縮
半導体デバイスメーカは、短期間で歩留まりを向上させ収益を上げるために、微細化する寸
法、新しい材料、および画期的なデバイス構造に関連する歩留まりの問題に対処する必要が
ある。パターン付きウェーハ検査装置を使用すると、エンジニアはプロセス開発から量産に
至る製品ライフサイクルのあらゆる段階で欠陥の問題を解決できるようになり、歩留まり
を向上させることができる。KLA-Tencorの包括的なウェーハ検査ポートフォリオを構成する
Puma 9150暗視野検査装置は、45nm以降のノードに対応する有効な異常モニター機能を備え
ている。
レーザイメージング暗視野検査装置のPumaファミリの最新製品となるPuma 9150は、画期的な
Streak™テクノロジを利用し、機能を拡張することにより、高いスループットで幅広い欠陥タ
イプを捕捉できる。新しい光学モードを使用すると、エッチング工程検査ではブリッジなど
のパターン欠陥に対する感度を高め、CMPプロセスでは残留物などの欠陥の捕捉を改善し、
リソ工程では高いスループットでリソ起因の欠陥を検出できる。Puma 9150は、フィルム工程
モニターのベンチマーク感度を提供するだけでなく、ツールモニター用アプリケーション、
フォトセルモニターや現像後検査においてサンプリング数を増加し、高感度の広帯域明視野
検査を補完する。
Puma 9150の具体的な使用事例と歩留まり問題へのご質問については、
Amir Azordegan ([email protected])までお問い合わせください。
使いやすい改良機能と画期的な
アルゴリズムにより迅速かつ簡
単なレシピセットアップ
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
確立されたツールアーキテクチ
ャと量産現場で実績のあるマッ
チング性能により、一貫性のある
信頼性の高い検査結果を生成
33
34
35
36
Signal-to-Noise Ratio
Traditional Optical Modes
New Optical Modes
37
38
39
40
41
Bridge
Cu Residue
Missing Contact
Puma 9150の従来の光学モードと新しい光学モードの補完
的な検出機能を示す3つの欠陥のS/N比。複数の光学モー
ドにより、幅広いアプリケーションで広範な暗視野欠陥
タイプの捕捉が可能となる。
42
Puma 9150の新しい光学モードにより、不完
全なCu研磨(上図)、変形したコンタクト、ブ
リッジ、残留物などの低プロファイル欠陥を
より多く捕捉できる。
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
製品ニュース
1
2
3
4
5
6
7
8
9
eDR-5200
10
11
12
電子ビームレビューおよび分類装置
13
14
デザインルールが45nm以降のノードに微細化するにつれ、欠陥および歩留まり管理エンジニ
アはますます、レビューツールによって生成される欠陥パレートチャートの品質と微細な欠
陥にこだわるようになってきた。eDR-5200ウェーハ欠陥レビューおよび分類装置は、50nm以
下の欠陥をイメージングし、SEM不可視(SNV)欠陥を大幅に減らして、より正確な欠陥パレー
トチャートを生成することにより、これらの問題に適切に対処できる。KLA-Tencorの包括的
な欠陥ソリューションポートフォリオの重要な構成要素であるeDR-5200は、分解能および欠
陥再検出感度の向上を活かすと共に、KLA-Tencor検査装置との専用接続を確立することによ
り、45nm以降のノードで優れたレビュー性能、短期間での歩留まり向上、および高いツール
生産性を可能にする。
eDR-5200では、電磁波イマージョンカラム設計が採用されており、50nm以下の欠陥のイメー
ジングに必要な解像度を達成する。さらに、高精密ステージ、画期的な欠陥オフセット、お
よび高度な再検出アルゴリズムにより、低コントラスト欠陥や微細な欠陥の検出に必要な機
能を提供し、レポートされるSNVの数を効果的に削減する。パワーアシスト分類(ePAC™)や
完全自動欠陥分類(eADC™)などの欠陥分類への斬新なアプローチによって、欠陥パレートチ
ャートがさらに改良される。また、eDR-5200では、検査/レビューのサイクルを1つの使用例
とするために、KLA-Tencor光学検査装置へのシームレスな接続性を確立できる。それによっ
て、より質の高い欠陥パレートチャートを1時間あたりより多く生成できるようになり、エン
ジニアは45nmノードデバイスの最も微細で重大な欠陥に関する歩留まりの問題でも迅速に解
決できる。
eDR-5200の具体的な使用事例と対処できる歩留まり問題へのご質問については、
Christophe Fouquet ([email protected])までお問い合わせください。
0.5µm FOV
100
SNV Rate %
75
40
73
22
12
13
3BEOL
4FEOL
22
13
2
1FEOL
2FEOL
50nm Defect
5BEOL
6BEOL
7BEOL
Layer
液浸カラム設計と高いステージ精度を備えた
eDR-5200では、50nm以下の欠陥を検出およ
びイメージングできる。
16
17
18
19
量産に適した手動、パワーア
シスト、および全自動の欠陥
分類により、短時間で最高品
質の欠陥パレートチャートを
取得
KLA-Tencor検査装置との専用接
続によって、SEMで正確なレシ
ピセットアップを迅速に生成
し、さらにSEM不可視欠陥など
の無視できる欠陥の検出率を
低減
画期的なEDXデザインにより、
組成に基づいて100nm以下の
欠陥解析および分類が可能
KLA-Tencor検査ツールとレビュ
ーツール間の接続によって、
プロセスウィンドウクォリフ
ィケーション(PWQ)時間を大幅
に短縮
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
39
36
27
11
0
71
78
高いステージ精度と画像解像
度によって50nm以下の欠陥の
検出とイメージングが可能
15
38
50
25
85
POR Method
eDR Method
eDR-5200の特長
eDR-5200では、座標精度が向上し、KLA-Tencor検査装置
との接続が確立されており、レポートされるSEM不可視
欠陥の数が大幅に減少している。
40
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
製品ニュース
1
2
3
4
5
6
7
8
SURFmonitor
プロセスの特性と計測モジュール
SURFmonitorの特長
欠陥検査と同一のスキャンか
ら得られるため、検査スルー
プットに影響を与えることな
く欠陥および膜の形態情報を
収集する
強力なアルゴリズムによって
欠陥シグネチャを抽出し、表
面散乱の検査結果を有用なデ
ータに変える
サブオングストロームの垂直
(パターンの高さ)分解能と業界
屈指の横方向分解能
Surfscan SP2製品へのアドオン
モジュールとして使用可能
ファブ内の各プロセスモジュ
ールにおいていくつかのアプ
リケーションが実証済み
SURFmonitorシステムは、業界屈指のSurfscan SP2パターンなし表面検査装置を、これまでの単
なる欠陥検査を超えた計測領域に拡張する製品である。SURFmonitorは、ベアウェーハやベタ
膜の表面形態における変動を測定することができ、これによりウェーハの表面粗さやグレイ
ンサイズ、プロセス温度などのさまざまなプロセスパラメータを間接的に評価することがで
きる。SURFmonitorは、従来の欠陥情報を収集するのと同時に、サブオングストロームレベル
の正確さを有する詳細なパラメトリックマップを作成するものである。したがって、検査ス
ループットに影響を与えることなく、欠陥情報とプロセス装置の性能変動を監視することを
可能にする。またSURFmonitorはSP2の欠陥検出機能を「スレッショルド以下の」領域にまで
拡大しこれまでの欠陥チャネルでは捕捉されないプロセスの異常と欠陥シグネチャを検出す
ることができる。
SURFmonitorは、散乱信号の中で低空間周波数かつ低振幅成分のみを使用してウェーハ全体の
マップを生成する。このマップはサブオングストロームの垂直分解能を有する。またSURFmonitorは、ウェーハの面内ばらつき、またはウェーハ間ばらつきを解析することで、その結
果をプロセス管理に適用できる。またSURFmonitorのデータは、Cu、タングステン、および
ポリシリコン膜の表面の粗さ、透明膜の膜厚、表面の損傷、表面の温度変動などのいくつか
のパラメータと非常に良い関連性があることが明らかになっている。SURFmonitorには、従来
の欠陥チャネルでは検出が容易でないウォーターマークや汚れなどのS/N比の低い欠陥を検
出できる機能も備わっていることがわかっている。また、再現性とマッチング性能に関して
も、Surfscan SP2プラットフォームに組み込まれたSURFmonitorはきわめて優れている。
SURFmonitorの具体的な事例と歩留まり問題への適用に関するご質問については、
Andy Steinbach ([email protected])までお問い合わせください。
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
SURFmonitor signal (ppm)
35
300
36
250
37
200
38
150
39
100
40
50
0
0.0 1.0 2.0
3.0 4.0
5.0 6.0
AFM RMS roughness (nm)
このSURFimageには、SURFmonitorアルゴリズムに
よって抽出されてレポートされたウェット洗浄プロ
セスでの乾燥時の汚れが示されている。
Cu ECD膜に関するSURFmonitor結果では、AFMによっ
て測定された表面の粗さと相関関係があることが明
らかになった。SURFmonitor信号と粗さの二次関係
は、理論値とよく一致している。
41
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
製品ニュース
1
2
3
4
5
6
7
HRP-350
8
9
10
量産スループットで最先端の45nm半導体に対応できるプロファイルテクノロジ
11
あらゆるデバイス世代で重要なエッチングプロセスやCMPプロセスに対するプロファイル制
御要求が厳しくなるにつれ、顧客は歩留まりにとって重要なナノスケールのアプリケーショ
ンをサポートし、ウェーハ上のマクロスケールのトポグラフィを制御する単一システムソリ
ューションを必要としている。
HRP-350は、業界最先端の高解像度表面トポグラフィプロファイラであり、半導体メーカが
大幅に微細な縦方向および横方向の寸法を監視できる機能を備えている。半径わずか20nmの
ダイヤモンドスタイラスと低ノイズプラットフォームによって測定感度を向上させたHRP350システムは、モデリング条件に依存することなく、AFMの分解能に匹敵するナノメート
ルスケールのスタイラステクノロジを提供する。システムの高分解能モードにより、シャロ
ートレンチアイソレーション、配線内のCMP、金属膜の粗さ、タングステンのプラグ埋め込
みなどのデバイスの性能に直接影響を及ぼすアプリケーションでナノスケールパターンの正
確な制御が可能となる。よりスケールの大きなパターンの場合、システムの長距離スキャン
モードが高スループットモードで動作して、Cu CMP ディッシングおよびエロージョン、Cuメ
ッキ、ダイ平坦度、およびパッケージング内のC4バンプの高さを測定する。より高速のスキ
ャン速度によって、さまざまな重要なトランジスタおよび配線アプリケーションでのHRP350の量産適合性が高まる。
独自の20nm UltraSharp™スタイラスを含めたシステムの広範なスタイラスポートフォリオは、
ダイヤモンド材をベースにしているので、スタイラス寿命が最も長く、一般的なAFMのチッ
プより最大100倍長い。新しいスタイラスの開発では、スタイラスの寸法を微細化するだけ
でなく、ロバスト性も高めることによって、テクノロジをさらに向上させ、旧世代のHRP340システムの最大5倍の速度でスキャンを可能にする。その他のシステム生産性の向上によ
り、システムスループットが最大40%高まる一方で、最先端の65nmデバイスおよび45nmデバ
イスで重要な構造をプロファイリングできるようになった。300mmウェーハ用のHRP-350シ
ステムに加え、200mm以下のウェーハ用のHRP-250もIC半導体およびディスクドライブ製造
アプリケーションに使用できる。
HRP-350で対処できる表面プロファイルの問題へのご質問については、Petrie Yam ([email protected])ま
でお問い合わせください。
Stylus Lifetime Step Height Measurement
Cursor Height (A)
-1030.0
専用の20nm UltraSharp™ダイヤモンドスタイ
ラスと低ノイズプラットフォームによって横
方向分解能を向上させる。
12
13
測定機能の拡張により、
14
65nm以降のノードの高度な条
件に対応
15
より微細なスタイラスを採用
17
し、ノイズ抑制性能が向上して
18
いるので、高度なナノスケール
19
パターン(埋め込みなど)のトポ
グラフィ測定が可能に
16
20
21
測定性能が33%厳密になり、最
22
も厳しいプロセス制御を実現
23
斬新な処理機能によって、微細
24
なスタイラスでは5倍のスキャ
25
ン速度でスキャンが可能にな
26
り、スタイラスを交換せずにマ
27
クロおよびミクロのトポグラフ
ィに対応できる
28
29
スループットが最大40%向上
30
し、より信頼性の高い防振シス
31
テムを備えることにより、最も
32
量産に適した表面計測ソリュー
ションを生み出す
33
34
35
36
37
-1040.0
38
-1050.0
39
-1060.0
40
-1070.0
-1080.0
HRP-350の特長
41
>100k
ダイヤモンドをベースにしたスタイラスは、寿命が最も
長く、一般的なAFMのチップより最大100倍長い。
42
43
44
45
46
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine