硬質ディスク研磨における化学機械平坦 化(CMP

データストレージ
硬質ディスク研磨における化学機械平坦
化 (CMP) スラリー開発に向けたレーザ使
用欠陥検出システムの活用
Toshi Kasai, Charles Dowell – Cabot Microelectronics Corp
Anoop Somanchi – KLA-Tencor Corporation
ハードディスク製造では、CMPスクラッチの特性評価がデバイスの信頼性向上の鍵となる。エリプソメータ、反射率計、散乱
計、および光学プロファイラを完備したKLA-TencorのCandelaTM光学表面アナライザ(OSA)システムを欠陥検出に使用すると、検
出の調整と整合性が可能となり、手動検出の主観性を排除できる。Candela OSAテクノロジでは、従来の暗視野顕微鏡(DFM)に
比べてばらつきが大幅に低減したことが実証され、小さなスクラッチ(10μm以下)の識別も容易になった。
はじめに
ハードディスクドライブ(HDD)業界では、10年前からデー
タ容量の大型化に対する需要の高まりを受けて、いくつか
の技術が実現されている(1)。ヘッドディスクインタフェース
(HDI)の観点から、浮上読み取り/書き込みヘッドとディスク
メディア間の距離を近づけることが、HDDの高データ密度
を実現するための主流となっている。ヘッドとディスクの
間の間隙を最小にするために、ディスク表面の粗さを抑制
する必要があるが、より重要なことは、スクラッチや異物
などの表面欠陥の数を少なくしてHDD動作の機械的信頼性
を向上させることである。
化学機械平坦化(CMP)プロセスは硬いディスク表面を平坦化
するための重要なステップである(2)。一般に、CMPは、ポリ
マーパッドと接触するディスク表面の化学反応と機械的研
磨を、複雑な化学的性質と研磨剤を含んだスラリーと組み
合わせ負荷をかけて実現される(3)。硬質ディスクスクラッチ
欠陥性能にスラリーが大きな影響を持つことは認識されて
いる。スクラッチは、取扱い、化学的性質の不平衡、また
はスラリー内の大きな研磨異物が原因で生成される。その
ため、スラリー開発には一貫したスクラッチ特性評価技術
を活用することが不可欠である。
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
硬質ディスクサブストレート上のスクラッチ特性評価に
は、いくつかの検出システムを使用できる。1つの例は、
暗視野顕微鏡(DFM)をベースにしたスクラッチカウントツ
ールである。DFMは便利で使いやすいが、DFMを使用し
たスクラッチカウントや解析は、主観的になりやすくオペ
レータへの依存度が大きいことで知られている。DFMを手
動で操作すると、相対的に再現性と再現精度(R&R)が低く
なり、欠陥分類(サイズ別など)が煩雑になる。また、欠陥
数が比較的多いため、異物の総数を把握することも困難に
なる。
レーザを利用した光学表面アナライザ(OSA)システムの最
近の開発により、表面形態に関する再現性と信頼性が向上
している (4-6) 。たとえば、一連のCandela装置にはエリプソ
メータ、反射率計、散乱計、および光学プロファイラが完
備している (6-8)。それぞれの操作モードやモードを組み合わ
せて、タイプ、数や場所などの固有の欠陥データを使用し
て欠陥を検出できる。さらに、多目的欠陥スキャンおよび
解析レシピを使用すると、構造的な検出調整と整合性の実
現が可能になる。これは、手動検出の主観性の排除に役立
つ。Candelaツールは、欠陥識別用にハードディスクドライ
ブ業界で広く使用されている。
34
データストレージ
このレポートでは、Candela装置で測定した硬質ディスクに
対する欠陥検出レシピとスクラッチカウント結果の最近の
開発について述べる。Candelaでコンパイルされたスクラッ
チデータを、従来のDFMや別のタイプのOSAツールを使用
して取得したスクラッチデータと比べる。レシピパラメー
タの調整が整合性のあるスクラッチカウントの取得の鍵と
なることがわかる。この選択肢はDFM技術では使用できな
い。スクラッチ欠陥解析にCandelaツールを使用する他の利
点についても述べる。
方に設置し、ディスク表面の暗視野画像をモニタに表示す
る。この研究ではCCDカメラの倍率を10倍にした。光をデ
ィスク表面に対して浅い角度で入射し、CCDが反射光を直
接収集しないようにした。ディスクに欠陥があると、入射
光は散乱し、散乱信号はCCDカメラによって検出される。
欠陥は、モニタでは暗い背景上の明るい画像として表示さ
れる。オペレータはディスクを回して欠陥を検査し、欠陥
数を手動で数える。DFM技術を使用する利点は、Candelaツ
ールに比べて、相対的に処理時間が短いこととセットアッ
プが容易なことである。
実験
CandelaおよびDFMのサンプル準備と実験セットアップ
Candela欠陥検出システム
CandelaCS10は、405nmレーザを利用した多機能ツールである。
図1に示すように、このシステムには円周方向レーザと半径方
向レーザと呼ばれる2つのレーザがある。2つのレーザビーム
は、サンプルの解析ポイントを90度の角度で照射する。装置
には、いずれかのビームか、または両方のレーザで同時にデ
ィスクをスキャンする機能がある。信号検出は、反射ビーム
と散乱ビームを対象に2つの検出チャネルを使用して行う。ス
クラッチおよび異物欠陥の識別には、光電管(PMT)ディテクタ
で構成された散乱チャネルを使用する。このモードで、レー
ザは、サンプル表面と相互作用して散乱信号を生成し、この
Candela CS10 system apparatus
Circumferential
laser
Scatter channel
Reflection
channel
Disk sample
図1:Candela C10に使用するXビームテクノロジ。半径方向レーザビ
ームと円周方向レーザビームを直角に交差させて、ディスク表面に
当て、散乱信号が生成され散乱チャネルによって収集される。
信号は散乱信号画像で明るい領域として表示される。入射レ
ーザでは3つの偏光モード、P、S、およびQ (PとSの組み合わ
せ)を使用できる。測定時、ディスクが回転すると、レーザ光
源と検出システムは、ディスクサンプルの全領域がスキャン
できるように横方向に移動する。
暗視野顕微鏡(DFM)スクラッチ検出システム
DFM技術は複数の光源を使用して、異なる角度からディス
ク表面を照射する。荷電結合素子(CCD)カメラをディスク上
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
CMPプロセスに先立ち、ディスクサンプルを研磨しニッケ
ルリンをめっきした。9ディスクの内径、外径、厚みは、
それぞれ25mm、95mm、1.27mmである。ディスクは数種
のCMPスラリーで研磨し、洗浄した後に欠陥検査に送られ
る。取扱いが原因と思われる残留化学物質と汚れはミスカ
ウントにつながるため、ディスクのクリーン度はスクラッ
チ検査に重要な影響を持つ。
Candela測定手順は、ディスクを装置のプラテンに載せると
自動的に実行される。データ出力では、各ビンのスクラッ
チおよび異物の分布状況とともに、ディスク上の欠陥の場
所を示すマップが表示される。収集された欠陥データはサ
イズ別に5つのビンに分類される。スクラッチ欠陥ビンの名
目上の設定は、次のとおりである。ビン1:20∼100µm、ビ
ン2:100∼500µm、ビン3:500∼1000µm、ビン4:1000∼
5000µm、およびビン5:5000µm以上。
DFM技術では、スクラッチの数を手動カウンタで数える。
スクラッチの長さの解析は、モニタに表示された各スクラ
ッチの長さを分類用のスケールを使用して測定した。固有
の検査作業として、スクラッチを4つのグループ、短くて
(2mm以下)浅い、短くて深い、長くて(2mm以上)浅い、長く
て深い、に分類した。スクラッチの深さは、モニタに表示
された欠陥の明るさに応じて目視検査で予測した。
ディスク上の解析領域は、DFMでは中径(MD)から外径
(MD)までの範囲、Candelaでは内径(ID)から外径(MD)までの
範囲である。そのため、スキャン領域はCandelaではDFMよ
りも約1.5倍大きくなった。
OSA-2スクラッチ検出システム
顧客サイトで使用する別のOSAツールについては、後に紹介
しCandelaツールと比較する。このツールもプローブとして
レーザを使用し、スクラッチの検出に散乱信号を採用して
いるが、Candelaテクノロジは使用していない。このツール
は元々顧客が開発したもので市販されていないため、ここ
では便宜的にOSA-2と呼ぶことにする。機密情報があること
から情報はごく限られているため、関連性を見つけること
は困難な作業であった。次のセクションに述べるように、
このツールとの関連性を明らかにするうえで、Candelaツー
ルの調整機能は大きな役割を果たす。
35
データストレージ
Beam
direction
Scratch
visible
Particle
(a)
200µm
Beam
direction
Scratch
visible
Particle
(b)
200µm
図2:(a)半径方向レーザと(b)円周方向レーザを使用した研磨済み硬
質ディスク表面のCandela散乱信号画像。太い矢印は入射ビーム方向
を示す。
結論と考察
Candelaレシピ作成の課題
測定前にスキャンレシピと解析レシピを最適化しておく必
要がある。このセクションでは、スクラッチを検出するた
めのCandelaレシピの重要なパラメータの影響について詳し
く調べる。
図2は、(a)半径方向レーザと(b)円周方向レーザを使用した研
磨済み硬質ディスク表面のCandela散乱信号画像を示す。図
では横方向がディスクサンプルの円周方向と平行になる。
図を見てわかるように、入射レーザの方向が欠陥の見え方
に大きな影響を与える。円周方向スクラッチは、角度の向
きがディスクの円周方向に沿っており、半径方向レーザ(図
2(a))を使用すると見えるが、円周方向レーザ(図2(b))を使用す
ると見えなくなる。この異方性が発生するのは、レーザビ
ームの方向とスクラッチの長手方向との角度が90度に近く
なるほど散乱信号が多く生成されるためである。2つのレー
ザを使用する利点は、スクラッチの向きの影響を最小にで
きることである。半径方向スクラッチに的を絞るのであれ
ば、装置が半径方向スクラッチを検出できるように意図的
に半径方向レーザを使用することができる。これが該当す
るのはディスクをCMPの後にテクスチュアリングプロセス
に送る場合である。テクスチュアリングプロセスでは均一
で制御されたスクラッチが形成され、そのスクラッチに沿
って磁気層蒸着プロセスで磁気結晶が成長する(9)。
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
テクスチュアリングを円周方向に行う場合、半径方向スク
ラッチがあることは好ましくない。この研究では、両方の
レーザを使用可能にして総合的に解析した。図3は、硬質デ
ィスク表面で検出されたスクラッチ欠陥の原子間力顕微鏡
(AFM)による高さ画像(a)とその断面図(b)を示す。スクラッチ
の幅と深さは通常それぞれ1µm以内と数ナノメートルであ
る。Candelaシステムでは幅0.1µm深さ1nmのスクラッチがは
っきりと観察されることが実証されている。
図2でもわかるように、異物欠陥は両方の画像で認識でき
る。異物の画像はレーザ方向に長くなるが、散乱信号はビ
ーム方向とは比較的無関係である。その理由は、入射面で
レーザビームの入射角が通常と約60度の角度になるためで
ある。長くなったパターンはスクラッチに似ており、スク
ラッチと異物の区別がしにくくなる場合がある。この問題
は、解析レシピでアスペクト比などのスクラッチ分類パラ
メータを最適化することで解決できる。
エンコーダ乗数の設定や光電管(PMT)の電圧が、S/N比に大き
な影響を与えることが判明している。エンコーダ乗数の設定
は、各半径位置の円周データポイントの数を定義する。たと
えば、エンコーダ乗数の設定を64倍にすると、1つのラジアル
位置で64 x 1,024 (=65,536)のデータポイントを確保できる(7)。図
4は、エンコーダ乗数とPMT電圧を次のような3つの異なる設
定にして、画像中央付近で範囲を固定したときの1つのスク
ラッチのCandela散乱信号とその断面図を示す。(a) 16倍および
475V、(b) 16倍および525V、(c) 64倍および475V。
スクラッチとS/N比のピーク強度を表1に示す。断面解析か
ら得られたピーク強度は、平均バックグラウンドノイズレ
ベルから測定したピークの振幅(%)である。単位はツールが
指定するPMT出力電圧の割合として表わされる。S/N比は、
バックグラウンドノイズの最大振幅に対するピーク強度の
割合として定義される。事例(a)のS/N比は1.2で、信号がバ
ックグラウンドノイズと明確に区別されないことを示す。
PMT電圧を475 Vから525 V (事例(b))に引き上げると、S/N比
は1.2から2.1 (1.8倍)に大幅に上昇する。また、エンコーダ
乗数を増やすと、S/N比は2.4倍改善された(事例(c))。両方の
パラメータを大きくすると、散乱信号が飽和した。そのた
め、この研究ではエンコーダ乗数の設定を64倍、PMT電圧
を475Vにした。
スキャン後、欠陥サイトを特定するために、取得した散乱
信号画像を解析レシピを使用して処理した。解析レシピパ
ラメータの最適化も非常に重要である。ここで鍵となるパ
ラメータは、欠陥サイトとして認識される最小散乱信号強
度を定義するスレッショルドパラメータである。レシピパ
ラメータを最適に設定するために、次の操作を行った。最
初に、散乱信号画像を解析レシピを使用して処理し、スク
ラッチカウントを記録した。次に、同じ散乱信号画像をオ
ペレータの目視検査で確認し、オペレータが認識したスク
ラッチを手動でカウントした。最後に、2つのスクラッチ
カウントを比較した。オペレータによるスクラッチカウン
トが正確で精度が高く、Candelaスクラッチカウントを評
価する標準として使用できると考えた。エラーには2種類
あり、タイプIのエラーは、スクラッチ欠陥があるにもか
36
データストレージ
5.0
5.0
B
(nm)
A
0
(a)
-5.0
5.0
(µm)
0
(b)
5.0
(µm)
図3:(a)CMP後の硬質ディスク上スクラッチのAFM画像および(b)矢印で示すスクラッチのABライン部分の解析。
33610µm
187.90
191.75 deg
Units
33235
32860
32485
(a)
33610µm
187.90
Angle (°), Radius (µ)
191.75 deg
Units
33235
32860
32485
(b)
34250µm
189.39
Angle (°), Radius (µ)
190.35 deg
Units
33875
33500
33125
Angle (°), Radius (µ)
(c)
図4:エッチングテスト条件を変えたときの測定CDと平均画像の比較。
事例(a)
事例(b)
事例(c)
エンコーダ乗数
16X
16X
64X
PMT電圧(V)
475
575
475
ピーク強度(%)
0.04
0.16
0.12
S/N比
1.2
2.1
2.9
かわらず、Candelaがスクラ
ッチ欠陥を認識しない場合
に発生し、タイプIIのエラー
は、Candelaが実際にはスク
ラッチ欠陥ではないものをス
クラッチとして分類した場合
に発生する。これらの分類を
表2に示す。エラーの可能性
は、解析レシピに設定したス
レッショルドパラメータによ
って決まる。スレッショルド
が高くなるとタイプIIのエラ
ーは少なくなるが、タイプ
Iのエラーは増え、その逆も
同様である。円周方向レー
ザおよび半径方向レーザの散
乱信号の両方に対して最適化
されたスレッショルドパラメ
ータの値は、0.12%であるこ
とがわかった。4つのディス
クサンプルの関連するスクラ
ッチカウントを表3に示す。
タイプIおよびタイプIIのエ
ラー発生率は、それぞれ平均
30%と2%である。共に0%が
理想的ではあるが、2つのエ
ラーは相互に相反する関係に
あるため達成は困難である。
タイプIIのエラーをゼロに近
づけると共にタイプIのエラ
ーを最小に抑える条件を選択
した。後でわかるように、ス
レッショルドの設定は調整機
能の研究では大きな役割を果
たす。
上述のように開発されたスク
ラッチ識別システムは、パラ
メータの調整が必要になると
はいえ、集積回路(IC)シリコ
ンウェーハなどの他のタイプ
のサンプルにも適用できる。
表1:Candelaでエンコーダ乗数およびPMT電圧の設定を変えたときのピーク強度とS/N比。
自然状態
Candelaによる判定
スクラッチ
スクラッチではない
スクラッチ
合格
タイプIIエラー
スクラッチではない
タイプIエラー
合格
表2:Candelaレシピ確認テスト用のタイプIエラーおよびタイプIIエラーの定義。
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
37
データストレージ
ディスク
サンプル
番号
スクラッチカウント
タイプ
Iエラー
(%)
タイプ
IIエラー
(%)
正解
間違い
検出なし
合計
1
39
0
17
56
30
0
2
11
2
1
12
8
15
3
7
0
8
15
53
0
4
30
0
12
42
29
0
総計
87
2
38
125
30
2
表3:タイプIおよびタイプIIエラーの評価に使用したCandelaスクラッチカウ
ントデータ。
Candela C10
DFM
光源
紫外線レーザ
(405 nm)
白色光
光源数
2
複数
検出器
光電管(PMT)
CCD
空間分解能限界
>4 µm
~100 µm
スクラッチカウントに対す
る計測R&Rの研究偏差(%)
7%
25%
1 mm
1 mm
(b)
図5:(a) Candela技術と(b)暗視野顕微鏡(DFM)技術を使用した硬質デ
ィスク表面画像。
Candela vs DFM (long scratches)
40
Regression
95% Confidence Interval
Candela counts (>1mm)
30
Y = 0.56 X + 3.4
R² 70.7%
20
10
0
10
20
30
40
DFM counts (>2mm)
図6:Candelaの長いスクラッチカウント(1 mm以上)とDFMの長いス
クラッチカウント(2 mm以上)の関連性。
2007年冬号 歩留まり管理ソリューション
|
図5は、(a) Candelaと(b) DFMを使用して見た同じ場所のディ
スク表面画像を示す。Candela画像は、図の上方から、P偏
光半径方向レーザを使用して得られた。2つの画像の差は、
Candelaツールの実験セットアップについて考察した前のセ
クションで説明したとおりである。DFM画像の中央下部に
わずかに見える半径方向スクラッチは、Candela画像では認
識できない。一方、斜めに横切っている円周方向スクラッ
チはCandela画像の方がよく見える。Candela画像では異物が
ビーム方向と平行に伸びている。両方の画像とも左下隅の
汚れは明るく表示されている。
ツール間の代表的な差を表4に示す。空間検出限界はDFMよ
りもCandelaの方がずっと小さい。Candelaの場合、検出限
界はレーザスポットサイズ(4µm)によって決められるが、
DFMの場合、限界はCCDカメラの解像度とオペレータの視
力によって決まる。計測R&Rの研究偏差は、再現性、再現
精度、およびパーツ間ばらつきを含む総合的なばらつきに
対するR&Rの影響として定義される。一般的に、値が9%以
上になると、システムを改善する必要がある。10個のサン
プルでCandelaの研究偏差は7%であった。これは、R&R性能
が許容できることを示す。他方、DFMの研究偏差は25%で、
DFMでは手動操作が多く必要となることを考慮すると、十
分とはいえない。
表4:Candela C10技術と暗視野顕微鏡(DFM)技術の特性比較。
(a)
CandelaとDFMの相関
www.kla-tencor.com/ymsmagazine
DFMとCandelaのスクラッチカウントに関する比較研究を行
った。図6は、DFMの長いスクラッチカウント(2mm以上)と
Candelaの長いスクラッチカウント(1mm以上)の相関を示す。
スクラッチカウントのばらつきは、スクラッチ性能の異な
る数種のスラリーを使用したためである。直線回帰相関係
数R 2は71%であった。このことから、DFMとCandelaのスク
ラッチカウントの関連性はほんのわずかであることがわか
る。通常、十分な相関があると見なされるためにはR 2は少
なくとも75%必要である。10 また、回帰線が95%信頼レベル
でラインy = xとならないため、関連性は一致しない。これ
は、DFMシステムの低い計測R&Rを含む、2つの装置の検出
システムの違いによるものであると考えられる。表5に示す
ように、さまざまなサイズ別におけるスクラッチカウント
で相関を調べた。最も大きなR2は71%で、前述の場合に見ら
れた。
図6の回帰線の勾配は0.56であり、1以下である。これは、解
析条件のもとで、DFMが検出したスクラッチをCandelaでは
検出されなかったことを示唆する。スキャン領域の差(Candelaのスキャン領域はDFMよりも約1.5倍大きい)とカテゴリ
別に分類したスクラッチのサイズ(Candelaでは1mm以上、
DFMでは2mm以上)を考慮すると、Candelaが見逃したスクラ
ッチの全体的な数はさらに増えると思われる。この食い違
いの最も大きな原因として考えられるのは、Candela装置は
浅いスクラッチからの散乱信号が比較的小さいため浅いス
クラッチに対する感度が低いことと、前述したようにツー
ルのスレッショルド設定の問題である。この仮説は、表5に
示すデータによって裏付けられる。前述の比較からDFM検
出で深いスクラッチのみをカウントした場合、回帰線の勾
配は1.5となり、0.56増加している。
38
データストレージ
Candelaスクラッチサ
イズ別
DFMスクラッチサイズ別
a
(勾配)
b
(y切片)
R2 (%)
Candela調整機能とCMPスラリー
スクリーニングにおける使用
異なるスクラッチ性能を示
すことが予測される一連の
スラリーを使用して、ディ
合計
合計
3
2.8
84
56
スクサンプルセットを研磨
合計
4
0.1 mm>
0.99
35
57
した。これらのディスクは
合計
5
0.2 mm>
0.53
18
64
OSA-2レーザ使用光学表面
アナライザで検査し、「合
表5:サイズ別のCandelaおよびDFMスクラッチカウントの回帰解析。
格スクラッチ」と「不合
サンプル:G1
散乱強度範囲(%)
格スクラッチ」の2つのグ
スクラッチ
下限(µm)
上限(µm)
0.12 – 0.2
0.2 – 0.3
0.3 – 0.4
>0.4
ループに分類した。次に、
ビン
同じディスクをCandelaと
1
20
100
179
31
23
15
DFMによって解析した。
図7(a)および(b)に示すデー
2
100
500
76
8
9
12
タは、それぞれCandelaと
3
500
1000
7
4
0
2
DFMの合計スクラッチカウ
4
1000
5000
0
9
3
1
ントである。各グラフは、
5
5000
N/A
6
0
0
1
OSA-2ツールによる分類を
合計
268
52
35
31
採用している。このセクシ
ョンではCandelaのスレッシ
表6:散乱強度範囲と長さビンを使用したCandelaスクラッチカウントの分類例、図8(a)のデータプロットに使用。
ョルド設定に焦点を当て、
図7(a)の測定では0.12%の
値を選んだ。スラリーの識
(a) Candela: Total scratches
(b) DFM: Total scratches
500
500
別では、文字と番号の組
Good
Good
み合わせを使用し、OSA-2
Scratch rejected
Scratch rejected
400
400
に よるカテゴリのうち「合
格」を「G」、「不合格」を
300
300
「R 」 の 文 字 で 表 し 、 使 用
したスラリーを番号で表し
200
200
ている。スラリー1は標準
100
100
硬質ディスクスラリーであ
る。スラリー2、3、および
0
0
4には、スクラッチ低減添加
G1
G2
G3
G4
R1
R2
R3
R4
G1
G2
G3
G4
R1
R2
R3
R4
物が含まれ、濃度はそれぞ
Disk sample number
Disk sample number
れ100、1,000、および4,000
(単位:相対濃度)である。
図7:別のレーザー使用欠陥検出システム(OSA-2)によって分類された「合格」および「不合格スクラッチ」に
Candela合計スクラッチは、
(a) Candelaと(b) DFMを使用して測定した合計スクラッチ数。使用したOSA-2カテゴリとスラリーはディスクサ
明らかにスクラッチ制御添
ンプル番号によって特定される。スラリー1は、硬質ディスク用の標準CMPスラリーで、スラリー2∼4には、
加物の影響が見られる。こ
濃度の異なるスクラッチ低減添加物が含まれている。
の傾向はDFM技術ではそれ
ほど見られない。ただし、
OSA-2ツールでは添加物の影
前述したように、現在のパラメータ設定ではCandela散乱信
響は観察されていない。これはOSA-2では異なる分類方法
号は浅いスクラッチを検出する可能性が低いことが実験で
を採用しているためと思われる。次の課題は、Candelaを使
証明されている。このことは必ずしもツールの弱点にはな
用してOSA-2で整合性のある結果を得る方法を見つけるこ
らない。長さ、深さや幅などのスクラッチ形状に関する有
とである。
意義な情報を提供する機能は、単に欠陥をカウントするよ
CandelaとDFMの相関を得ようとした場合と同様に(表5を参
りも重要である。Candelaの利点は、次のセクションで説明
照)、スクラッチの長さを選んでスクラッチカウントを比べ
するように、目標のスクラッチ形状の関数として欠陥を認
ることにより明らかな相関を見出そうとした。OSA-2ではス
識する柔軟な調整機能にある。
2 mm>
0.56
3.4
71
1 mm>
2 mm>で深い
1.5
3.9
70
2007年冬号 歩留まり管理ソリューション
Scratch count
1 mm>
2
Scratch count
1
|
www.kla-tencor.com/ymsmagazine
39
データストレージ
クラッチの幅や深さと併せてスクラッチの長さで欠陥を分類
するということが後になってわかった。この情報から、散乱
強度も追加して重視すべきであったという結論に達した。ス
クラッチ欠陥とレーザビーム間の相互作用から生じる散乱信
号には、スクラッチの幅と深さの情報が強度に含まれている
可能性がある。標準的なCandela分類技術にはこの機能がな
い。スレッショルド値よりも高い散乱信号を示すスクラッチ
欠陥サイトは、すべて欠陥であると見なされる。スクラッチ
欠陥を散乱強度で分類するために、散乱信号データを別のス
レッショルド値で再度解析し、得られたスクラッチカウント
を散乱強度範囲に応じて手動で分類した。
図7(a)のG1サンプルに対するこのような分類例を表6に示す。
スクラッチは、長さと散乱強度に応じて分類されている。重
要なスクラッチの数がこの表から特定される。強度が0.4%以
上のスクラッチ欠陥は、長さにかかわらず最も大きい欠陥と
して分類され、すべてがスクラッチとして数えられる。強度
が0.3∼0.4%の範囲のスクラッチ欠陥は、長さが500µm以上
であれば2番目に大きく、スクラッチとして分類される。同
様に、強度が0.2∼0.3%の範囲のスクラッチ欠陥は、長さが
5000µm以上であれば、3番目に大きなものと認識されスクラ
ッチとして分類される。上記のスクラッチカウント小計は、
新しいスクラッチ分類カウントに基づいている。
図8(a)は、図7(a)のディスクサンプルを新しい定義に基づいて
数えたスクラッチカウントを示す。OSA-2による「合格」と
「不合格」という2つのカテゴリが明確に識別されている。
Candela測定によるスクラッチ数が40以下の場合、OSA-2ではデ
ィスクを「合格」と評価するが、40以上の場合はディスクを
「スクラッチ不合格」と評価する。この結果は、他の欠陥計
測ツールとの相関を明確にするには、散乱強度がもう1つの重
要なパラメータとなることを示唆する。データによっては相
関が不十分であるが(図7(a)および8(a)の間に見られるスクラッ
チカウントプロファイルの差など)、図7(a)および8(a)の間のス
クラッチカウントプロファイルの差は有意義である。多分、
解析パラメータの最適化が相関の向上に役立つであろう。
DFMデータの場合、OSA-2検出では散乱強度が大きくなるほど
スクラッチがはっきりするという結果があることから、深く
て長いスクラッチを選んでOSA-2との相関が明確になる1を得
ようと試みた。図8(b)に示すように、Candelaでは4となった相
関はDFMスクラッチカウントでは不明確であった。
Candelaシステムでは、長さ、
深さや幅などのスクラッチ形状
に関する有意義な情報だけでな
く、目標のスクラッチ形状の関
数として欠陥を認識する柔軟な
調整機能がある。
データ解析は、Candelaのスレッショルド設定を相対的に高
くすると、CandelaとOSA-2の相関が明確になることを示す。
表6に示すように、G1サンプルではスクラッチの大部分で
散乱強度が0.2%以下である。スクラッチ制御添加物の使用
は、このカテゴリのスクラッチ数の低減に有効であるが、
OSA-2検出が主に対象とする散乱強度が高いスクラッチの
排除には効果が低い。この認識は新しいCMPスラリー製品
の開発に重要な意味を持つ。スクラッチ低減に関する顧客
の要求を十分に満たすには、どのスクラッチ形状(深さ、長
さ、幅、および方向)に焦点を絞るかなど、スクラッチ定
義の基準が非常に重要である。さまざまなスクラッチは種
類によって根本的な原因が異なる。短くて浅いスクラッチ
は、研磨異物が凝集したかディスク材料から生成された異
物が原因であろう。長くて深いスクラッチは、除去されず
にスラリーに入り込んだ大きな異物が原因と思われる。原
因となるメカニズムに応じて、CMPスラリー開発の手法は
異なる。必要なスクラッチ情報を柔軟かつ効果的に提供で
きるレシピ指向のCandelaツールを使用すると、スラリー開
発作業は大きく前進する。この研究から、計測ツールから
必要な情報を抽出し選択することが、スラリー製品開発の
鍵となることを学んだ。
(a) Candela: Intensity & length categorization
(b) DFM: Intensity & length categorization
150
20
Good
Good
Scratch rejected
Scratch rejected
15
Scratch count
Scratch count
100
50
0
10
5
0
G1
G2
G3
G4
R1
R2
R3
R4
Disk sample number
G1
G2
G3
G4
R1
R2
R3
R4
Disk sample number
図8:図7のディスクサンプルについて、(a)散乱強度と長さで分類したCandelaスクラッチカウントおよび(b) DFMスクラッチカウント(深さと長さ)。
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
40
データストレージ
この調整機能は、現在Candelaシステムでは標準手順とし
て使用できない。システムにこの機能を追加するには、
Candelaスクラッチ解析プロセスの複雑な設計変更が必要に
なろうが、ツールの柔軟性は増す。
謝辞
著者は、データ収集についてLi Wang氏に、このプロジェク
トを完成するにあたり行われた有意義な討論と提案につい
てFrancois Batllo、Haresh Siriwardane、Edward Remsen、Vamsi
Velidandla、およびLaurie Bechtlerの各氏に感謝する。
結論
レーザ使用光学表面アナライザ(Candela CS10: KLA-Tencor Corp.)
および暗視野顕微鏡(DFM)技術を使用したスクラッチカウン
トの評価。
1. 測定器の再現性および再現精度(R&R)研究によれば、Candela
ツールの研究偏差は7%である。これはR&R性能として許容
できる範囲にある(9%以下)。一方、DFMには25%の偏差があ
り、この不一致はDFMの手動操作によるところが大きい。
2. CandelaとDFMスクラッチカウントの相関は、特定のスクラ
ッチサイズ別でR2が71%である。相関がこのようにわずか
であったのは、DFM測定機能のR&Rが相対的に低く、ツー
ル間の検出システムが異なるためである。
3. 検出、解析、およびデータ処理システムが異なるため、使
用したパラメータ設定では、CandelaはDFMに比べて小さい
スクラッチ(10µm以下)を簡単に検出し、不明瞭なスクラッチ
に対する感度は低いことを実証した。
4. 標準スレッショルドを使用したCandelaスクラッチカウント
では、スクラッチの低減に対するCMPスラリー添加物の影
響が明らかに実証された。この影響は、上述した解像度の
制限のため、DFM技術では明らではなかった。
参考文献
1. Sarid D, McCarthy B and Jabbour G E, 2004 Nanotechnology for data
storage applications, in bhushan B (ed.), Springer Handbook of Nanotechnology Springer-Verlag, Heidelberg, Germany.
2. Lei H and Luo J 2004, CMP of hard disk substrate using a colloidal SiO2
slurry: preliminary experimental investigation, Wear 257 pp. 461–70.
3. Michael R. Oliver (ed.) 2004, Chemical mechanical planarization of
semiconductor materials, Springer-Verlag, Heidelberg, Germany.
4. Knollenberg R G, A polarization diversity two-color surface analysis system,
1987 Journal of Environmental Sciences 30, pp. 35–8.
5. Takami K, 1997, Defect inspection of wafers by laser scattering, Mat. Sci.
Eng. B 44 pp. 181–7.
6. Meeks S W 2003, Optical surface analyzer inspects transparent wafers, Laser
Focus World 39 pp. 105–6, 8.
7. Bechtler L, Velidandla V and Lane G, 2003, Optical surface analysis of
transparent substrates for manufacturing applications, Proceedings of
Electrochemical Society 2003-3, pp. 540–7.
8. Candela Instruments, 2003, Optical Surface Analyzer C10 User s Manual,
Software version 1.0, Rev 1.0.
9. Johnson K E, Mate C M, Merz J A, White R L and Wu A W, 1996 Thin
film media - current and future technology, IBM J. Res. Develop. 40 (Sept).
10. Miller J N and Miller J C, 2000, Statistics and chemometrics for analytical
chemistry, 4th ed. Pearson Education Limited, Edinburgh Gate.
5. Candelaの感度調整機能により、別のレーザ使用スクラッチ
検出ツールで得たスクラッチカウントと関連させることが
可能になった。これはDFM技術を使用しては実現されなか
った。スクラッチ欠陥の基準に応じて、Candelaはレシピの
変更に合わせて必要なスクラッチ情報を抽出できる。
2007年冬号 歩留まり管理ソリューション
|
www.kla-tencor.com/ymsmagazine
41