I/O およびクロック プランニング

Vivado Design Suite
ユーザー ガ イ ド
I/O お よび ク ロ ッ ク プ ラ ン ニ ング
UG899
(v2015.2)
2015
月 24
UG899 (v2015.1)
2015
年 4年
月 61 日
本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。
資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最
新情報につきましては、必ず最新英語版をご参照ください。
改訂履歴
次の表に、
こ の文書の改訂履歴を示
し ます。
2015/06/24
: Vivado Design Suite
2015.2
リリース。内容の変更なし。
日付
バージ ョ ン
改訂内容
2015 年 4 月 1 日
2015.1
• ガ イ ド の構成を変更 し 、 Tcl コ マン ド の例を ア ッ プデー ト
• すべてのセ ク シ ョ ン を ア ッ プデー ト し 、 デザ イ ン フ ロ ーの情報を第 1 章 「は じ めに」 に
移動
• 第 2 章 「デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン」 : 「概要」 を追加、 「デバ イ ス コ ン フ ィ
ギ ュ レ ーシ ョ ン モー ド の設定」 を ア ッ プデー ト 、 「[Device Constraints] ウ ィ ン ド ウ の設
定」 を ア ッ プデー ト 、 「DCI_CASCADE 制約を作成す る Tcl コ マ ン ド 例」 にマ ス タ ーお
よ びス レーブ バン ク に関す る 情報を追加
• 第 3 章 「I/O プ ラ ン ニ ン グ」 : 「概要」 を ア ッ プデー ト 、 「多機能ピ ン」 にア ス タ リ ス ク
に関する 情報を追加、 「差動ペアの作成 と 分割」 に差動ピ ン ペアの制約に関す る 情報を
追加、 「I/O ポー ト の設定」 を ア ッ プデー ト 、 「プ ラ ッ ト フ ォーム ボー ド フ ロ ーで使用す
る Tcl コ マ ン ド 例」 を ア ッ プデー ト
• 第 4 章 「UltraScale デバ イ ス の メ モ リ IP の I/O プ ラ ン ニ ン グ」 を追加
• 第 5 章 「 ク ロ ッ ク プ ラ ン ニ ン グ」 に 「概要」 を追加
• 第 6 章 「I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グの検証」 : 「概要」 を追加、 「DRC の実行」 を
ア ッ プデー ト 、 「SSN 解析サポー ト の判断」 を ア ッ プデー ト
• 第 7 章 「シ ス テ ム設計者 と の情報交換」 に 「概要」 を追加
• 付録 A 「UltraScale デバ イ ス メ モ リ IP の I/O 割 り 当ての移行」 を追加
• 付録 B 「CSV フ ァ イ ル形式の I/O ポー ト リ ス ト の使用」 : 位相および BUFIO2_REGION を
削除、 スルー レー ト に UltraScale™ デバ イ スのみの MEDIUM 設定を追加、 UltraScale デバ
イ スのみの OUTPUT_IMPEDANCE、ENABLE_PRE_EMPHASIS、LVDS_PRE_EMPHASIS を
追加、 DIFF_TERM を追加
図 1-1、 図 2-7、 図 3-20、 図 6-1、 お よ び図 7-2 を ア ッ プデー ト
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
2
目次
改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2
第 1 章 : は じ めに
概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グの段階 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5
プ ラ ッ ト フ ォーム ボー ド フ ロ ーを使用 し た I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グ . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11
SSI テ ク ノ ロ ジ デバ イ ス の I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
I/O ポー ト を含む IP の I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12
第 2 章 : デバイ スの コ ン フ ィ ギ ュ レ ーシ ョ ン
概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
互換性のあ る 代替デバ イ ス の指定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デバ イ ス コ ン フ ィ ギ ュ レーシ ョ ン モー ド の設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
[Device Constraints] ウ ィ ン ド ウ の設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
コ ン フ ィ ギ ュ レーシ ョ ン バン ク 電圧セ レ ク ト ピ ンの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
13
13
14
16
21
第 3 章 : I/O プ ラ ン ニ ン グ
概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
[I/O Planning] レ イ ア ウ ト の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デバ イ ス リ ソ ース の表示 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O ポー ト の定義 と 設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O ポー ト の配置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト の RTL プ ロ ジ ェ ク ト への変換 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
22
22
24
27
37
43
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ン グ
概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
UltraScale デバ イ ス メ モ リ IP の I/O プ ラ ン ニ ン グ デザ イ ン フ ロ ーの変更. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
メ モ リ IP の コ ン フ ィ ギ ュ レーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Vivado IDE での UltraScale デバ イ ス メ モ リ IP の I/O プ ラ ン ニ ン グ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
メ モ リ バン ク /バ イ ト プ ラ ンナーの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
メ モ リ I/O ポー ト の変更 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
メ モ リ DRC の実行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PHY の イ ンプ リ メ ン テーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
デザ イ ン間での I/O ポー ト 割 り 当ての コ ピー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
44
45
46
48
50
58
59
60
61
第 5 章 : ク ロ ッ ク プ ラ ンニング
概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ロ ジ ッ ク セルの検索 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
[Clock Resources] ウ ィ ン ド ウ の使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
[Device] ウ ィ ン ド ウ での ク ロ ッ ク ロ ジ ッ ク の配置 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
62
63
63
65
3
第 6 章 : I/O およ び ク ロ ッ ク プ ラ ン ニ ン グの検証
概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
DRC の実行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
SSN 解析 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71
第 7 章 : シ ス テム設計者 と の情報交換
概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O ピ ン と パ ッ ケージ デー タ のエ ク ス ポー ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
IBIS モデルの生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
PCB デザ イ ン と の イ ン タ ーフ ェ イ ス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
76
76
77
79
付録 A : UltraScale デバイ ス メ モ リ IP の I/O 割 り 当ての移行
概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
プ ロ ジ ェ ク ト フ ロ ーを使用 し た UltraScale デバ イ ス メ モ リ IP の移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80
非プ ロ ジ ェ ク ト フ ロ ーを使用 し た UltraScale デバ イ ス メ モ リ IP の移行 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83
付録 B : CSV フ ァ イル形式の I/O ポー ト リ ス ト の使用
CSV フ ァ イ ル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84
CSV フ ァ イ ルでの差動ペア . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86
付録 C : その他の リ ソ ースお よび法的通知
ザ イ リ ン ク ス リ ソ ース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ソ リ ュ ーシ ョ ン セ ン タ ー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
ト レーニ ン グ リ ソ ース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
87
87
87
88
89
4
第 1章
は じ めに
概要
I/O お よび ク ロ ッ ク プ ラ ン ニ ン グは、 FPGA と プ リ ン ト 回路基板 (PCB) の接続性を定義お よ び解析 し 、 デバ イ ス の物
理的な ピ ンに イ ン タ ーコ ネ ク ト 信号を割 り 当て る プ ロ セ ス です。 PCB 設計、 FPGA 設計、 お よ びシ ス テ ム設計にかか
わ る プ ロ セ ス であ り 、 次の よ う な考慮事項お よ び要件があ り ます。
•
ク リ テ ィ カル信号の接続を効率化する こ と に よ り 、 信号を短 く し て信号が交差する のを回避
•
デバ イ ス に送受信 さ れ る 高速信号の イ ン テ グ リ テ ィ を保持
•
代替デバ イ ス で も 機能する I/O コ ン フ ィ ギ ュ レーシ ョ ン を選択
•
PCB 上で使用可能な電源お よ びグ ラ ン ド 信号を決定
•
適切なデカ ッ プ リ ン グのための PCB 要件を確立
•
デバ イ ス のプ ロ グ ラ ムお よ びデバ ッ グに関する 考慮事項を特定
ピ ン配置が最適化 さ れていない と 遅延が増加 し 、タ イ ミ ン グお よ びシ グナル イ ン テグ リ テ ィ 要件を満たす障害 と な り
ます。 PCB か ら FPGA ダ イ へのデー タ フ ロ ーを考慮す る と 、 最適な ピ ン配置を短期間で定義で き 、 内部お よ び外部
の ト レース長を削減 し 、 配線の密集を緩和で き ます。 こ の章では、 Vivado® 統合設計環境 (IDE) と い う グ ラ フ ィ カル
ユーザー イ ン タ ーフ ェ イ ス (GUI) を使用 し た I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グのプ ロ セ ス の概要を説明 し ます。
I/O お よび ク ロ ッ ク プ ラ ン ニ ン グの段階
Vivado Design Suite では、 PCB 設計者 と FPGA 設計者の初期の共同作業か ら 完全に イ ンプ リ メ ン ト さ れたデザ イ ンの
検証ま で、デザ イ ン プ ロ セ ス の さ ま ざ ま な段階で I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グ を実行で き ます。デザ イ ン フ ロ ー
を進行 し てい く につれ、 よ り 多 く の情報を入手で き る よ う にな り 、 よ り 複雑な解析お よ びルール チ ェ ッ ク が可能にな
り ます。 た と えば、 デザ イ ン フ ロ ーの初期段階の解析では、 遅延な ど に予測デー タ が使用 さ れますが、 合成済みネ ッ
ト リ ス ト ま たは イ ンプ リ メ ン ト 済みデザ イ ンでは、 実際のデバ イ スお よ び イ ン タ ーコ ネ ク ト 遅延が使用 さ れます。
最適な I/O 割 り 当ては、 FPGA の構造、 PCB デザ イ ンの要件、 お よ び FPGA の構造 と PCB デザ イ ン要件の関係に よ っ
て異な り ます。 FPGA と PCB 間の物理的お よ び論理的な関係を把握す る こ と に よ り 、 デバ イ ス上でのデー タ フ ロ ー
を効率的な も のにする こ と がで き ます。I/O ポー ト の割 り 当ては PCB か ら の信号が ど の よ う に FPGA デザ イ ンに入力
さ れてボー ド に出力 さ れ る か を定義 し 、 ク ロ ッ ク リ ソ ース の割 り 当てはデザ イ ンの ク ロ ッ ク ツ リ ーの構造を定義 し
ますが、 こ れ ら の割 り 当ては通常同時に行われます。
た と えば、 デバ イ ス上の一部の ピ ンは ク ロ ッ ク ピ ンに適 し てお り 、 ほかの ピ ンはデジ タ ル制御 イ ン ピーダ ン ス (DCI)
カ ス ケー ド お よ び内部電圧基準 (VREF) に適 し てい ます。 I/O ポー ト お よ び ク ロ ッ ク の割 り 当てが適切にプ ラ ン ニ ン グ
さ れていない と 、 シ ス テ ム パフ ォーマ ン ス が低下 し 、 デザ イ ン を何回 も 反復実行す る こ と にな り 、 デザ イ ン ク ロ ー
ジ ャ に時間がかか り ます。UltraFast™ 設計手法を使用 し たボー ド お よ びデバ イ ス プ ラ ン ニ ン グについては、『UltraFast
設計手法ガ イ ド (Vivado Design Suite 用)』 (UG949) [参照 1] の 「ボー ド お よびデバ イ ス プ ラ ン ニ ン グ」 を参照 し て く だ
さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
5
第 1 章 : は じ めに
I/O プ ラ ン ニ ン グは、 デザ イ ン フ ロ ーの ど の段階で も 実行で き ます。 た と えば、 I/O 割 り 当て を最上位ポー ト リ ス ト 、
レ ジ ス タ ト ラ ン ス フ ァ ー レベル (RTL) デザ イ ン、 ま たは合成済みネ ッ ト リ ス ト か ら 開始で き ます。 さ ま ざ ま な タ イ
プのプ ロ ジ ェ ク ト に よ り 、 I/O プ ラ ン ニ ン グ を柔軟に実行で き ます。 ただ し 、 I/O の割 り 当てはで き る だけ合成済みデ
ザ イ ンで実行 し て く だ さ い。 た と えば、 よ り 複雑な I/O 配置のデザ イ ン ルール チ ェ ッ ク (DRC) は、 合成済みデザ イ
ンでのみ実行可能です。
メ モ リ コ ン ト ロ ー ラ ー、 ギガ ビ ッ ト ト ラ ン シーバー (GT)、 PCI Express® (PCIe) イ ーサネ ッ ト イ ン タ ーフ ェ イ ス な ど
の IP には、 それ ら の IP に関連付け ら れた I/O ポー ト があ り ます。 I/O プ ラ ン ニ ン グ プ ロ セ ス を開始す る 前に、 Vivado
Design Suite の IP 機能を使用 し て こ れ ら の IP を適切に設定 し てお く 必要があ り ます。こ れ ら の イ ン タ ーフ ェ イ スは通
常 タ イ ミ ン グ ク リ テ ィ カルなので、デバ イ ス の ピ ン割 り 当て を考慮す る 際は こ れ ら の IP を開始点 と し て使用 し ます。
ま た、 こ れ ら の IP を使用す る 場合は、 RTL ま たは合成済みデザ イ ン を使用 し て く だ さ い。 詳細は、 「I/O ポー ト を含
む IP の I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グ」 を参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング デザイ ン フ ロー
Vivado Design Suite では、 任意のプ ロ ジ ェ ク ト タ イ プ を使用 し て、 デザ イ ン フ ロ ーの任意の段階で I/O お よ び ク ロ ッ
ク プ ラ ン ニ ン グ を実行で き ます。 最 も よ く 使用 さ れ る 方法は、 次の と お り です。
ヒ ン ト : Vivado Design Suite を非プ ロ ジ ェ ク ト モー ド で実行 し て、 I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グ を実行す る こ と
も で き ます。 プ ロ ジ ェ ク ト モー ド お よ び非プ ロ ジ ェ ク ト モー ド の詳細は、 『Vivado Design Suite ユーザー ガ イ ド : デ
ザ イ ン フ ロ ーの概要』 (UG892) [参照 2] の 「プ ロ ジ ェ ク ト モー ド と 非プ ロ ジ ェ ク ト モー ド 」 を参照 し て く だ さ い。
ビデオ : デザ イ ン プ ロ セ ス の さ ま ざ ま な段階での I/O プ ラ ン ニ ン グの実行に関す る 詳細は、 Vivado Design Suite ビデ
オ チ ュ ー ト リ アル : I/O 配置の概要を参照 し て く だ さ い。
RTL 作成前の I/O プ ラ ン ニ ング
空の I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト を作成す る と 、 デザ イ ン ソ ース フ ァ イ ルがない状態で、 デバ イ ス の探索お よ び
初期 I/O ポー ト 割 り 当て を実行で き ます。 こ の方法では、 RTL ソ ー ス フ ァ イ ル ま たはネ ッ ト リ ス ト はな く 、 初期の
I/O プ ラ ン ニ ン グお よ びボー ド レベルの統合を実行 し ます。 こ れに よ り 、 ピ ン配置を設計の初期段階で定義で き 、 設
計サ イ ク ルの後の方でデバ イ ス の ピ ン配置に関連する 変更が発生する のを回避で き ます。 I/O プ ラ ン ニ ン グ プ ロ ジ ェ
ク ト を使用する と 、 次が可能です。
•
デバ イ スお よ び I/O ポー ト 割 り 当て を PCB 設計か ら イ ン ポー ト 、 ま たは I/O ポー ト を手動で作成
•
デバ イ スおよび I/O ポー ト 割 り 当て をエ ク ス ポー ト し て PCB 設計用に渡 し た り 、 デザ イ ン プ ロ セ スの後半で使用
•
ポー ト 定義 と ピ ン割 り 当てが解決 し た後、 I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト を RTL プ ロ ジ ェ ク ト に変換
•
ポー ト 定義に基づいてデザ イ ンの最上位の Verilog ま たは VHDL モジ ュ ール定義を作成
I/O ピ ン プ ラ ン ニ ン グ プ ロ ジ ェ ク ト でポー ト 割 り 当て を完了 し た ら 、 RTL プ ロ ジ ェ ク ト に変換 し 、 デザ イ ンの最上位
の Verilog ま たは VHDL モジ ュ ール定義を作成で き ます。 こ れに よ り 、 RTL デザ イ ン を開始す る と き に既に合意 し た
I/O プ ラ ン ニ ン グ を使用で き ます。 詳細は、 第 3 章の 「I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト の RTL プ ロ ジ ェ ク ト への変換」
を参照 し て く だ さ い。
注記 : I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト の作成方法は、『Vivado Design Suite ユーザー ガ イ ド : シ ス テ ム レベル デザ イ ン
入力』 (UG895) [参照 3] の 「I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト の作成」 を参照 し て く だ さ い。 PCB 設計で定義 さ れた ピ ン
割 り 当て の イ ン ポー ト ま た は別の Vivado Design Suite プ ロ ジ ェ ク ト か ら の ピ ン割 り 当て の イ ン ポー ト につい て は、
第 3 章の 「I/O ポー ト の定義 と 設定」 を参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
6
第 1 章 : は じ めに
RTL I/O プ ラ ン ニ ング
I/O プ ラ ン ニ ン グ を エ ラ ボ レー ト 済み RTL プ ロ ジ ェ ク ト で実行で き ます。 こ の方法では、 RTL デザ イ ン (オプシ ョ ン
で Vivado IP カ タ ロ グ か ら の IP コ ア ま たは Vivado IP イ ン テ グ レ ー タ ーか ら のブ ロ ッ ク デザ イ ン を含む) を使用 し ま
す。 IP カ タ ロ グ を使用す る と 、 IP のカ ス タ マ イ ズ、 Clocking Wizard を使用 し た ク ロ ッ キ ン グ コ ン ポーネ ン ト のカ ス
タ マ イ ズ、 SelectIO™ Interface Wizard を使用 し た SelectIO イ ン タ ーフ ェ イ ス リ ソ ース の コ ン フ ィ ギ ュ レーシ ョ ンが可
能です。 エ ラ ボ レー ト 済みデザ イ ンでは、 基本的な DRC を使用 し てポー ト 割 り 当て、 I/O 規格、 ク ロ ッ ク リ ソ ース、
お よ びその他のデザ イ ンの詳細をチ ェ ッ ク で き ます。エ ラ ボ レー ト 済みデザ イ ンで初期の I/O お よ び ク ロ ッ ク プ ラ ン
ニ ン グ を実行する と 、デバ イ スお よ び I/O ポー ト 割 り 当て を エ ク ス ポー ト し て PCB 回路図シ ン ボルの生成に使用 し た
り 、 合成ま たは イ ンプ リ メ ン テーシ ョ ンで使用する 制約を XDC フ ァ イ ルに保存で き ます。
注記 : RTL プ ロ ジ ェ ク ト の作成方法お よ びエ ラ ボ レー ト 済みデザ イ ン を開 く 方法は、『Vivado Design Suite ユーザー ガ
イ ド : シ ス テ ム レベル デザ イ ン入力』 (UG895) [参照 3] の 「RTL プ ロ ジ ェ ク ト の作成」 を参照 し て く だ さ い。
ネ ッ ト リ ス ト I/O プ ラ ン ニ ング
I/O プ ラ ン ニ ン グは、 合成済みネ ッ ト リ ス ト で も 実行で き ます。 こ の方法では、 合成済み RTL プ ロ ジ ェ ク ト ま たは合
成後のネ ッ ト リ ス ト か ら 作成 し たネ ッ ト リ ス ト プ ロ ジ ェ ク ト を使用 し ます。 I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グは、 で
き る だけ合成済みデザ イ ンで実行 し て く だ さ い。 合成後にはデザ イ ンに関す る よ り 詳細な情報を使用で き る ので、 自
動 I/O 配置や イ ン タ ラ ク テ ィ ブ配置モー ド を使用 し て、 I/O ポー ト の割 り 当て を制御で き ます。 [I/O Planning] レ イ ア
ウ ト を使用 し て、 デバ イ ス パ ッ ケージの物理的な ピ ン と 、 デバ イ ス上の I/O バン ク のダ イ パ ッ ド 間の関係を表示す
る こ と も で き ます。
合成済みデザ イ ン を使用す る と 、 PCB と ザ イ リ ン ク ス デバ イ ス間の接続を最適化す る 際に適切な判断を下す こ と が
で き 、 PCB 設計者ま たはシ ス テ ム レベル設計者 と の調整が と り やす く な り ます。 ま た、 合成後には生成 ク ロ ッ ク を
含むすべての ク ロ ッ ク が定義 さ れてい る ので、Vivado Design Suite で ク ロ ッ ク 要件お よ び リ ソ ース使用率を認識で き 、
よ り 詳細な検証を実行で き ます。
注記 : ネ ッ ト リ ス ト ベー ス の I/O プ ラ ン ニ ン グは、 合成済み RTL デザ イ ン ま たは合成後のネ ッ ト リ ス ト プ ロ ジ ェ ク
ト で実行で き ます。 合成後のプ ロ ジ ェ ク ト の作成方法は、 『Vivado Design Suite ユーザー ガ イ ド : シ ス テ ム レベル デ
ザ イ ン入力』 (UG895) [参照 3] の 「合成後プ ロ ジ ェ ク ト の作成」 を参照 し て く だ さ い。
推奨 : ク ロ ッ ク ロ ジ ッ ク のチ ェ ッ ク は、 合成済みデザ イ ン で実行す る こ と をお勧め し ます。 ク ロ ッ ク タ イ ミ ン グの
チ ェ ッ ク は、 イ ンプ リ メ ン ト 済みデザ イ ンで実行する こ と をお勧め し ます。
イ ン プ リ メ ン ト 済みデザイ ン での最終的な I/O の検証
I/O ピ ン配置お よ び ク ロ ッ ク コ ン フ ィ ギ ュ レーシ ョ ンが有効であ る こ と を最終的に検証す る には、 完全に イ ンプ リ メ
ン ト 済みデザ イ ン を使用する 必要があ り ます。 ク ロ ッ ク リ ソ ース が適切であ る かを検証する には、すべての ク ロ ッ ク
の完全に配線 さ れた イ ンプ リ メ ン テーシ ョ ンが必要です。 イ ンプ リ メ ン テーシ ョ ン レ ポー ト で I/O お よ び ク ロ ッ ク 関
連の メ ッ セージ を確認で き ます。 最後に、 I/O ポー ト 割 り 当て を PCB 設計者に確認 し 、 FPGA がシ ス テ ム レベル デザ
イ ン用に正 し く 定義 さ れてい る こ と をチ ェ ッ ク し ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
7
第 1 章 : は じ めに
I/O および ク ロ ッ ク プ ラ ン ニ ング デザイ ン フ ローの手順
図 1-1 の左側に、 プ ロ ジ ェ ク ト デザ イ ン フ ロ ーの手順を示 し ま す。 水平方向の矢印は、 プ ロ ジ ェ ク ト デザ イ ン フ
ロ ーで I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グ を実行可能な段階を示 し ます。 I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グ デザ イ ン
フ ロ ーの手順は、 右側に示 さ れてい ます。
X-Ref Target - Figure 1-1
,2ርቫቖኌዊአኌኴ዆ዐከዐኍ
ኤናኁዐኲዊዙ
ኤናኁዐኲዊዙቑኅዐእ዇ኺኁዐእ
,2ኴ዆ዐከዐኍኴዊንኄኌእቑ⇫㒟
ኺዙእቑ⸩券
&69ቡቂቒ;'&ኲቾኁወቑኁዐኺዙእ
57/ⓜቑ,2
ኴ዆ዐከዐኍ
57/ኴዊንኄኌእቛቑ䲊嫛 ኇኴኔዄዐ
ኤክኁኖርቫቖⅲ㦎ኮዙኡቑ指㔭
ነዐኲኀኋዂዉዙኔዄዐᇬ'&,ኈኖ኎ዙኦᇬ
ርቫቖ␔捷95()ቑ指㔭
57/ኴዊንኄኌእቑ⇫㒟
,2ኺዙእርቫቖኌዊአኌቑ岼⸩
57/ርቫቖ;'&ኚዙኖቑ抌┯
ኾ኿዇ነዐእዊዙ዆ዙ,2ኺዙእቑ━ቭ㇢቉
,2ት⚺ባ,3 0,*ᇬ*7ᇬ3&,H ቑ
ነዐኲኀኋዂዉዙኔዄዐ
57/,2
ኴ዆ዐከዐኍ
,2ኺዙእቑ揜函
ኤናኁዐቑኅ዆ኹዉዙኔዄዐ
ኪአእ዇ኖእ
,2ኴ዆ዐከዐኍ
'5&ርቫቖ661屲㨟ቑ⸮嫛
ኤናኁዐቑ⚗㒟
ኤናኁዐቑኁዐኴ዇ኾዐእ
3&%ኤዙኜ ;'&ᇬ&69ᇬ,%,6 ቑ䞮㒟
ኪአእ዇ኖእኴዊንኄኌእቑ⇫㒟
ኪአእ዇ኖእርቫቖ;'&ኚዙኖቑ抌┯
ኪአእ዇ኖእ
,2ኴ዆ዐከዐኍ
;
図 1-1 : I/O および ク ロ ッ ク プ ラ ン ニ ン グ デザイ ン フ ロー
プ ロ ジ ェ ク ト デザ イ ン フ ロ ーは、 空の I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト 、 RTL デザ イ ン プ ロ ジ ェ ク ト 、 ま たは合成後
のネ ッ ト リ ス ト プ ロ ジ ェ ク ト か ら 開始 し ます。 こ れ ら のプ ロ ジ ェ ク ト タ イ プのいずれかを使用する と 、 I/O お よ び ク
ロ ッ ク プ ラ ン ニ ン グ デザ イ ン フ ロ ーの次の手順を実行で き ます。
1.
デバ イ スお よ び代替パーツの選択
パーツ を選択する 際は、 最終的なデザ イ ンの リ ソ ース予測に基づいてデバ イ ス のサ イ ズ を決定 し ます。 パ ッ ケー
ジは、 メ モ リ への ク リ テ ィ カル配線な ど、 PCB 要件に基づいて選択 し ます。 ス タ ッ ク ド シ リ コ ン イ ン タ ー コ ネ
ク ト (SSI) テ ク ノ ロ ジ を 使用す る デ ザ イ ン に つ い て は、 『UltraFast 設計手法 ガ イ ド (Vivado Design Suite 用)』
(UG949) [参照 1] の 「SSI」 を参照 し て く だ さ い。 互換性のあ る 代替パーツ を指定す る こ と も で き ます。 詳細は、
第 2 章の 「互換性のあ る 代替デバ イ ス の指定」 を参照 し て く だ さ い。
ザ イ リ ン ク ス デバ イ スおよ びその他の コ ンポーネン ト を含み、 信頼性の高い評価プ ラ ッ ト フ ォーム ま たは製品開
発プ ラ ッ ト フ ォーム を提供す る タ ーゲ ッ ト デザ イ ン プ ラ ッ ト フ ォーム ボー ド を選択す る こ と も で き ます。 詳細
は、 「プ ラ ッ ト フ ォーム ボー ド フ ローを使用 し た I/O お よび ク ロ ッ ク プ ラ ンニ ン グ」 を参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
8
第 1 章 : は じ めに
2.
コ ン フ ィ ギ ュ レーシ ョ ン、 DCI カ ス ケー ド 、 お よ び内部 VREF の選択
ザ イ リ ン ク ス デバ イ スは、 電源を投入する たびに コ ン フ ィ ギ ュ レーシ ョ ンする 必要があ り ます。 ビ ッ ト ス ト リ ー
ムは、 異な る コ ン フ ィ ギ ュ レーシ ョ ン モー ド を イ ネーブルにす る 特別の コ ン フ ィ ギ ュ レーシ ョ ン ピ ン を介 し て
デバ イ ス に読み込みます。 アプ リ ケーシ ョ ンで使用す る コ ン フ ィ ギ ュ レーシ ョ ン モー ド は、 デザ イ ンの I/O プ ラ
ン ニ ン グに影響 し ます。 I/O 割 り 当て を開始す る 前に、 コ ン フ ィ ギ ュ レーシ ョ ン モー ド を決定 し てお く こ と が必
要です。 コ ン フ ィ ギ ュ レーシ ョ ン モー ド は一部の ピ ンの接続を決定す る だけでな く 、 多目的ピ ン を含む I/O バン
ク に必要な VCCO 電圧 も 決定 し ます。 詳細は、 第 2 章の 「デバ イ ス コ ン フ ィ ギ ュ レーシ ョ ン モー ド の設定」 を
参照 し て く だ さ い。
伝送 ラ イ ンの特性 イ ン ピーダ ン ス を一致 さ せてシ グナル イ ン テ グ リ テ ィ を向上す る ため、 I/O 規格に よ っ て、 デ
ジ タ ル制御 イ ン ピーダ ン ス (DCI) で ド ラ イ バーの出力 イ ン ピーダ ン ス を制御す る か、 ド ラ イ バー、 レ シーバー、
ま たはその両方に並列終端を追加で き ます。 DCI では、 各 I/O バン ク の 2 つの多目的基準ピ ン を使用 し て、 ド ラ
イ バーの イ ン ピーダ ン ス ま たはバン ク のすべての I/O の並列終端値を制御 し ます。
差動入力バ ッ フ ァ ーを使用す る シ ン グルエ ン ド I/O 規格には、 基準電圧 (VREF) が必要です。 INTERNAL_VREF
制約を使用 し て内部 VREF を生成で き る ので、PCB 上で特定の基準電圧電源レールを提供す る 必要はあ り ません。
7 シ リ ーズお よ び UltraScale™ デバ イ ス では、 こ れに よ り I/O バン ク の多目的 VREF ピ ン を ほかの I/O ポー ト 割 り
当てに使用で き ます。 詳細は、 第 2 章の 「[Device Constraints] ウ ィ ン ド ウ の設定」 を参照 し て く だ さ い。
3.
I/O ポー ト お よ び ク ロ ッ ク の設定
デバ イ ス上の I/O ポー ト では、 IOSTANDARD、 SLEW、 DRIVE な ど の複数の I/O 関連の制約がサポー ト さ れてい
ます。 こ れ ら のポー ト を、 シ ス テ ム レベル デザ イ ンに必要な規格を サポー ト す る よ う 設定 し ます。 I/O 規格の定
義が、 ピ ン配置に影響す る 可能性があ り ます。 た と えば、 1 つの I/O バン ク で組み合わせ る こ と がで き る I/O 規
格 と で き ない I/O 規格があ り ます。 詳細は、 第 3 章の 「I/O ポー ト の設定」 を参照 し て く だ さ い。
ザ イ リ ン ク ス デバ イ スは、 ク ロ ッ ク 領域の列 と 行に分割 さ れてい ます。 1 つの ク ロ ッ ク 領域には、 コ ン フ ィ ギ ャ
ラ ブル ロ ジ ッ ク ブ ロ ッ ク (CLB)、 I/O バン ク 、 DSP ス ラ イ ス、 ブ ロ ッ ク RAM、 イ ン タ ー コ ネ ク ト 、 お よ び関連
の ク ロ ッ ク リ ソ ース が含まれます。 各 I/O バン ク には、 シ ス テ ム ま たはボー ド ク ロ ッ ク をデバ イ ス に取 り 込み、
ク ロ ッ ク 配線 リ ソ -ス に供給する ク ロ ッ ク 兼用入力ピ ンがあ り ます。 デザ イ ンの ク ロ ッ ク をデバ イ ス全体に分配
で き る よ う 、 こ れ ら の ク ロ ッ ク リ ソ ース の使用を プ ラ ン ニ ン グす る 必要があ り ます。 詳細は、 第 5 章 「 ク ロ ッ ク
プ ラ ン ニ ン グ」 を参照 し て く だ さ い。
注記 : I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト では、 ク ロ ッ ク オブジ ェ ク ト が定義 さ れていないので、 ク ロ ッ ク プ ラ ン ニ
ン グ を実行する こ と はで き ません。
推奨 : Vivado IP カ タ ロ グの Clocking Wizard を使用 し て、混合モー ド ク ロ ッ ク マネージ ャ ー (MMCM) ま たは位相 ロ ッ
ク ループ (PLL) モ ジ ュ ール を 生成 し て ク ロ ッ ク 接続 を 定義す る こ と を お勧め し ま す。 詳細は、 『LogiCORE™ IP
Clocking Wizard 製品ガ イ ド 』 (PG065) [参照 4] を参照 し て く だ さ い。
4.
メ モ リ コ ン ト ロ ー ラ ー I/O ポー ト の割 り 当て
Memory Interface Generator (MIG) IP は、 FPGA デザ イ ン と サポー ト さ れ る 外部 メ モ リ デバ イ ス の通信用にあ ら か
じ め設計 さ れた コ ン ト ロ ー ラ ーお よ び物理層 (PHY) を使用す る メ モ リ コ ン ト ロ ー ラ ーを定義 し ます。イ ーサネ ッ
ト IP や PCI Express® (PCIe) テ ク ノ ロ ジ IP だけでな く 、高速 メ モ リ コ ン ト ロ ー ラ ーに も 、ク ロ ッ ク お よ びス キ ュ ー
の要件に よ っ て特別な ピ ン配置要件があ り ます。
ギガ ビ ッ ト ト ラ ン シーバー (GT)、 PCIe テ ク ノ ロ ジ、 MIG 7 Series IP に対 し ては、 コ ア をデザ イ ンに追加する 際
に、 IP カ ス タ マ イ ズの一部 と し て I/O 物理ピ ン割 り 当て を実行す る 必要があ り ます。 I/O 割 り 当て を変更す る に
は、デザ イ ンの IP を カ ス タ マ イ ズ し 直す必要があ り ます。IP のカ ス タ マ イ ズお よ び IP の操作については、『Vivado
Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 5] を参照 し て く だ さ い。 UltraScale デバ イ ス用
の MIG IP では、 I/O 割 り 当ては標準 I/O プ ラ ン ニ ン グ フ ロ ーに統合 さ れてい る ので、 I/O 割 り 当て を変更す る 際
に MIG IP を カ ス タ マ イ ズ し 直す不要はあ り ません。 詳細は、 第 4 章 「UltraScale デバ イ ス の メ モ リ IP の I/O プ ラ
ン ニ ン グ」 を参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
9
第 1 章 : は じ めに
5.
I/O ポー ト の配置
デザ イ ンの I/O ポー ト を、 デバ イ ス上のパ ッ ケージ ピ ンに イ ン タ ラ ク テ ィ ブに割 り 当て る こ と がで き ます。 こ れ
には、 [I/O Ports] ウ ィ ン ド ウ で個別の I/O ポー ト ま た は I/O ポー ト の グ ループ ( イ ン タ ー フ ェ イ ス ) を 選択 し 、
[Package] ウ ィ ン ド ウ でパ ッ ケージ ピ ン に、 ま たは [Device] ウ ィ ン ド ウ で I/O パ ッ ド に割 り 当て ま す。 詳細は、
第 3 章の 「I/O ポー ト の配置」 を参照 し て く だ さ い。
合成済みデザ イ ンか ら の情報を使用 し て、I/O ポー ト を自動的に配置す る こ と も 可能です。詳細は、第 3 章の 「I/O
ポー ト の自動配置」 を参照 し て く だ さ い。
6.
DRC お よ び SSN 解析の実行
I/O お よ び ク ロ ッ ク を割 り 当てた ら 、 デザ イ ン ルール チ ェ ッ ク (DRC) お よ び同時ス イ ッ チ ノ イ ズ (SSN) 解析を
実行 し てデザ イ ン を解析す る こ と が重要です。 DRC は、 指定 し たデザ イ ン ルールのセ ッ ト に対 し て現在のデザ
イ ン をチ ェ ッ ク し 、 違反があ る 場合はレ ポー ト し ます。 詳細は、 第 6 章の 「DRC の実行」 を参照 し て く だ さ い。
SSN 解析では、 同時に切 り 替わ る 出力が I/O バン ク 内のほかの出力ポー ト に与え る 影響を予測 し ます。 こ の予測
には I/O バン ク 特定の電気特性 も 考慮 さ れ、 デザ イ ンで発生す る 可能性のあ る ノ イ ズに関連 し た問題が特定 さ れ
ます。 詳細は、 第 6 章の 「SSN 解析」 を参照 し て く だ さ い。
注記 : SSN 解析の予測値は、 デザ イ ンで発生す る 可能性のあ る ノ イ ズに関連 し た問題を特定す る ための も ので、
最終デザ イ ン を確定する ための検証方法 と し ては使用 し ないで く だ さ い。
推奨 : イ ンプ リ メ ン テーシ ョ ン後だけではな く 、 合成後の イ ンプ リ メ ン テーシ ョ ン前に DRC お よ び SSN 解析を実行
する こ と をお勧め し ます。 そ う する と 、 デザ イ ン サ イ ク ルの早期に問題を検出で き ます。
7.
デザ イ ンの イ ンプ リ メ ン ト
ザ イ リ ン ク ス デバ イ ス を コ ン フ ィ ギ ュ レーシ ョ ンす る ための ビ ッ ト ス ト リ ーム を生成す る 前に、デザ イ ン を イ ン
プ リ メ ン ト す る 必要があ り ます。 イ ンプ リ メ ン テーシ ョ ンでは、 デザ イ ン エ レ メ ン ト のデバ イ ス リ ソ ースへの
配置、 デザ イ ン ネ ッ ト ワ ー ク の配線、 消費電力削減お よ び タ イ ミ ン グ ク ロ ージ ャ 達成のための最適化が実行 さ
れます。 詳細は、 『Vivado Design Suite ユーザー ガ イ ド : 合成』 (UG901) [参照 6] お よ び 『Vivado Design Suite ユー
ザー ガ イ ド : イ ンプ リ メ ン テーシ ョ ン』 (UG904) [参照 7] を参照 し て く だ さ い。
8.
PCB デー タ (XDC、 CSV、 IBIS) の生成
I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グは繰 り 返 し プ ロ セ ス であ り 、PCB ま たはシ ス テ ム設計者 と FPGA 設計者の間で
の情報交換が必要です。 こ のプ ロ セ ス は、 CSV フ ァ イ ルか ら イ ン ポー ト さ れた タ ーゲ ッ ト デバ イ ス の ピ ン配置
を使用 し た、 PCB か ら の入力で開始で き ま す。 I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グ フ ロ ーの手順を完了 し た ら 、
CSV フ ァ イ ルお よ び IBIS (I/O Buffer Information Specification) モデルを使用 し て、 ピ ン配置をデバ イ ス モデル と
共にシ グナル イ ン テ グ リ テ ィ 解析用に戻す こ と がで き ます。 詳細は、 第 7 章 「シ ス テ ム設計者 と の情報交換」 を
参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
10
第 1 章 : は じ めに
I/O および ク ロ ッ ク プ ラ ン ニ ングの機能
表 1-1 に、 各プ ロ ジ ェ ク ト タ イ プでサポー ト さ れ る 機能を示 し ます。
表 1-1 : I/O および ク ロ ッ ク プ ラ ン ニ ングの機能
I/O プ ラ ン ニ ング
プロジ ェ ク ト
機能
RTL デザイ ン
合成済みデザイ ン
イ ン プ リ メ ン ト 済み
デザイ ン
CSV および XDC フ ァ イルから
のポー ト の読み込み
サポー ト
なし
なし
なし
ポー ト の作成または削除
サポー ト
なし
なし
なし
XDC フ ァ イルから の I/O 規格
および配置の読み込み
サポー ト
サポー ト
サポー ト
サポー ト
互換デバイ スの設定
サポー ト
サポー ト
サポー ト
サポー ト
コ ン フ ィ ギ ュ レーシ ョ ン
モー ド の設定
サポー ト
サポー ト
サポー ト
サポー ト
I/O プ ラ ン ニ ン グ DRC
サポー ト
サポー ト
サポー ト
サポー ト
同時ス イ ッ チ ノ イズ (SSN)
解析
サポー ト
サポー ト
サポー ト
サポー ト
ク ロ ッ ク を考慮 し た配置
および DRC
なし
なし
サポー ト
サポー ト
最終的な DRC
なし
なし
なし
サポー ト
プ ラ ッ ト フ ォ ーム ボー ド フ ローを使用 し た I/O お よ
びク ロ ッ ク プ ラ ンニング
Vivado Design Suite では、 デザ イ ンの タ ーゲ ッ ト と し て、 コ ン フ ィ ギ ュ レーシ ョ ン済みの タ ーゲ ッ ト デザ イ ン プ ラ ッ
ト フ ォーム ボー ド を選択で き ます。 タ ーゲ ッ ト ザ イ リ ン ク ス デバ イ ス、 ボー ド コ ンポーネ ン ト 、 信号 イ ン タ ーフ ェ
イ ス、 I/O コ ン フ ィ ギ ュ レーシ ョ ン、 さ ま ざ ま な IP コ ン フ ィ ギ ュ レ ーシ ョ ン オプシ ョ ン な ど の各プ ラ ッ ト フ ォーム
ボー ド に関する 情報は、 ボー ド イ ン ターフ ェ イ ス フ ァ イ ルに格納 さ れてい ます。 Vivado Design Suite では、 定義済み
ボー ド 用の ボー ド イ ン タ ー フ ェ イ ス フ ァ イ ル を 提供 し て い ま す。 独自の タ ーゲ ッ ト プ ラ ッ ト フ ォ ー ム ボー ド を
Vivado ツ ールで使用で き る よ う に定義す る こ と も で き ま す。 プ ラ ッ ト フ ォ ー ム ボー ド フ ロ ーの詳細は、 『Vivado
Design Suite ユーザー ガ イ ド : シ ス テ ム レベル デザ イ ン入力』 (UG895) [参照 3] の 「Vivado Design Suite ボー ド フ ロ ー
の使用」 を参照 し て く だ さ い。
I/O 要件は、IP を カ ス タ マ イ ズ し た と き に使用 し た IP コ ン フ ィ ギ ュ レーシ ョ ンお よ び信号 イ ン タ ーフ ェ イ ス に よ っ て
変わ る 可能性があ り ます。 プ ラ ッ ト フ ォ ーム ボー ド フ ロ ーで Vivado IP カ タ ロ グか ら の IP を使用す る 場合は、 パ ッ
ケージ ピ ン割 り 当てお よ び IOSTANDARD、 SLEW、 DRIVE な ど の I/O 関連の制約を自動的に定義で き ます。
ま た、 I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト 、 RTL デザ イ ン プ ロ ジ ェ ク ト 、 ま たは合成後のネ ッ ト リ ス ト プ ロ ジ ェ ク ト で
作業中に、 さ ま ざ ま な Vivado Design Suite Tcl コ マン ド を使用 し てボー ド イ ン タ ーフ ェ イ ス フ ァ イ ルの情報にア ク セ
ス で き ます。 ボー ド イ ン タ ーフ ェ イ ス フ ァ イ ルか ら の情報を使用 し て、 ポー ト を グループ化 し て イ ン タ ーフ ェ イ ス
を定義 し た り 、 特定の FPGA コ ン フ ィ ギ ュ レーシ ョ ン用に必要なポー ト を定義で き ます。 詳細は、 第 3 章の 「I/O ポー
ト イ ン タ ーフ ェ イ ス の自動推論」 を参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
11
第 1 章 : は じ めに
SSI テ ク ノ ロ ジ デバイ スの I/O および ク ロ ッ ク プ ラ
ンニング
ス タ ッ ク ド シ リ コ ン イ ン タ ー コ ネ ク ト (SSI) テ ク ノ ロ ジ デバ イ ス を使用す る 場合、I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グ
が重要にな り ます。 SSI テ ク ノ ロ ジ デバ イ ス はダ イ の集積度が高いので、 配置に問題があ る と 、 配線時間が長 く な り 、
消費電力が増加 し て、 パフ ォ ーマ ン ス が低下す る 可能性があ り ます。 ピ ン配置の選択お よ び ク ロ ッ キ ン グの詳細は、
『UltraFast 設計手法ガ イ ド (Vivado Design Suite 用)』 (UG949) [参照 1] の 「SSI に関す る 注意事項」 を参照 し て く だ さ い。
I/O ポー ト を含む IP の I/O お よび ク ロ ッ ク プ ラ ン ニ
ング
メ モ リ コ ン ト ロ ー ラ ー、 GT、 PCIe イ ーサネ ッ ト イ ン タ ーフ ェ イ ス な ど の IP には、 それ ら の IP に関連付け ら れた I/O
ポー ト があ り ます。 I/O プ ラ ン ニ ン グ プ ロ セ ス を開始する 前に、 Vivado Design Suite の IP 機能を使用 し て こ れ ら の IP
を適切に設定 し てお く 必要があ り ます。 こ れ ら の イ ン タ ーフ ェ イ スは通常 タ イ ミ ン グ ク リ テ ィ カルなので、デバ イ ス
の ピ ン割 り 当て を考慮す る 際は こ れ ら の IP を開始点 と し て使用 し ます。 ま た、 こ れ ら の IP を使用す る 場合は、 RTL
ま たは合成済みデザ イ ン を使用 し て く だ さ い。
GT、 PCIe IP、 MIG 7 Series IP に対 し ては、 コ ア をデザ イ ンに追加す る 際に、 IP カ ス タ マ イ ズの一部 と し て I/O 物理ピ
ン割 り 当て を実行する 必要があ り ます。 I/O 割 り 当て を変更す る には、 デザ イ ンの IP を カ ス タ マ イ ズ し 直す必要があ
り ます。 IP のカ ス タ マ イ ズお よ び IP の操作については、 『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』
(UG896) [参照 5] を参照 し て く だ さ い。UltraScale デバ イ ス用の MIG IP では、I/O 割 り 当ては標準 I/O プ ラ ン ニ ン グ フ
ロ ーに統合 さ れてい る ので、 MIG IP のカ ス タ マ イ ズは不要です。 詳細は、 第 4 章 「UltraScale デバ イ ス の メ モ リ IP の
I/O プ ラ ン ニ ン グ」 を参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
12
第 2章
デバイ スの コ ン フ ィ ギ ュ レーシ ョ ン
概要
Vivado® Design Suite には、 I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グに影響す る デバ イ ス プ ラ ン ニ ン グ機能がい く つかあ り
ます。 た と えば、デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン方法や コ ン フ ィ ギ ュ レーシ ョ ン電圧の設定が、I/O お よ び ク ロ ッ
ク プ ラ ン ニ ン グに影響 し ます。最終的なデザ イ ンで必要な場合に タ ーゲ ッ ト パーツのサ イ ズ を変更で き る よ う に、代
替パ ッ ケージ/互換性のあ る デバ イ ス を定義す る こ と も で き ます。 I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グ を開始す る 前に、
こ れ ら のデバ イ ス特定のプ ロ パテ ィ を定義 し て く だ さ い。
互換性のあ る代替デバイ スの指定
デザ イ ンに互換性のあ る 代替デバ イ ス を選択で き 、必要に応 じ て タ ーゲ ッ ト デバ イ ス を変更で き ます。現在選択 さ れ
てい る タ ーゲ ッ ト パーツ と 同 じ パ ッ ケージの代替ザ イ リ ン ク ス デバ イ ス が選択 さ れ、 で き る だけ多 く の I/O 割 り 当
てが保持 さ れ る ので、 I/O ピ ン割 り 当ては選択 さ れた代替デバ イ ス で も 確実に機能 し ます。
互換性のあ る 代替デバ イ ス を定義する には、 次の手順に従い ます。
1.
[Tools] → [I/O Planning] → [Set Part Compatibility] を ク リ ッ ク し ます。
2.
[Set Part Compatibility] ダ イ ア ロ グ ボ ッ ク ス (図 2-1) で代替デバ イ ス を選択 し 、 [OK] を ク リ ッ ク し ます。
X-Ref Target - Figure 2-1
図 2-1 : [Set Part Compatibility] ダ イ ア ログ ボ ッ ク ス
選択 さ れ た すべ て の代替デバ イ ス 間で共通の ピ ン が特定 さ れ、 すべ て のデバ イ ス に共通で な い ピ ン に対 し て は
PROHIBIT 制約が設定 さ れます。 選択する デバ イ ス の数が増え る と 、 配置可能なパ ッ ケージ ピ ンの数が減 り ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
13
第 2 章 : デバイ スの コ ン フ ィ ギ ュ レーシ ョ ン
ま た、 選択 し た代替デバ イ ス のボ ンデ ィ ン グ さ れていない ピ ンに信号が割 り 当て ら れない よ う に、 PROHIBIT 制約が
自動的に設定 さ れます。 使用禁止のパ ッ ケージ ピ ン数を示すダ イ ア ロ グ ボ ッ ク ス が表示 さ れます。 使用禁止の ピ ン
は、 [Package]、 [Package Pins]、 [Device] ウ ィ ン ド ウ で確認で き ます。 禁止ピ ンは、 斜め線の入っ た丸いア イ コ ン
で表示 さ れます。
互換性のある代替パーツ を定義する Tcl コ マ ン ド 例
set_property KEEP_COMPATIBLE xc7k160tfbg676 [current_design]
注記 : set_property Tcl コ マ ン ド の詳細は、『Vivado Design Suite Tcl コ マン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835) [参照 8]
の 「set_property」 を参照 し て く だ さ い。 KEEP_COMPATIBLE プ ロ パテ ィ の詳細は、 『Vivado Design Suite プ ロ パテ ィ
リ フ ァ レ ン ス ガ イ ド 』 (UG912) [参照 9] の 「KEEP_COMPATIBLE」 を参照 し て く だ さ い。
デバイ ス コ ン フ ィ ギ ュ レ ーシ ョ ン モー ド の設定
ザ イ リ ン ク ス デバ イ ス コ ン フ ィ ギ ュ レーシ ョ ン デー タ は CMOS ラ ッ チに格納 さ れ る ので、デバ イ ス に電源を投入す
る たびに コ ン フ ィ ギ ュ レーシ ョ ン し 直す必要があ り ます。 ビ ッ ト ス ト リ ームは、異な る コ ン フ ィ ギ ュ レーシ ョ ン モー
ド の イ ン タ ーフ ェ イ ス と し て使用 さ れ る 特別のモー ド コ ン フ ィ ギ ュ レーシ ョ ン ピ ン を介 し てデバ イ ス に読み込ま れ
ます。 コ ン フ ィ ギ ュ レーシ ョ ン モー ド は、 専用の入力ピ ンに適切な電圧を設定す る こ と に よ り 選択 し ます。
各 コ ン フ ィ ギ ュ レ ーシ ョ ン モー ド には、 デバ イ ス の 1 つま たは複数の I/O バン ク に ま たが る イ ン タ ーフ ェ イ ス ピ ン
のセ ッ ト が対応 し てい ます。 バン ク 0 には専用 コ ン フ ィ ギ ュ レーシ ョ ン ピ ンが含まれ る ので、すべての コ ン フ ィ ギ ュ
レーシ ョ ン イ ン タ ーフ ェ イ ス で常に使用 さ れます。 UltraScale™ デバ イ ス のバン ク 65、 7 シ リ ーズ デバ イ ス のバン ク
14 お よ び 15 には、 さ ま ざ ま な コ ン フ ィ ギ ュ レーシ ョ ン モー ド で使用 さ れ る 多機能ピ ンが含まれてい ます。 使用可能
なデバ イ ス コ ン フ ィ ギ ュ レーシ ョ ン モー ド の詳細は、 該当す る デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ
ド [参照 10] を参照 し て く だ さ い。
デバ イ ス コ ン フ ィ ギ ュ レーシ ョ ン モー ド を設定 し 、 モー ド に関す る 情報を表示す る には、 次の手順に従い ます。
1.
[Tools] → [Edit Device Properties] を ク リ ッ ク し ます。
2.
[Edit Device Properties] ダ イ ア ロ グ ボ ッ ク ス (図 2-2) で [Configuration Modes] カ テ ゴ リ を ク リ ッ ク し 、 次を実行 し
た ら [OK] を ク リ ッ ク し てダ イ ア ロ グ ボ ッ ク ス を閉 じ ます。
°
コ ン フ ィ ギ ュ レーシ ョ ン モー ド のチ ェ ッ ク ボ ッ ク ス を オンに し て、 コ ン フ ィ ギ ュ レーシ ョ ン モー ド を設定
し ます。 コ ン フ ィ ギ ュ レーシ ョ ン モー ド を設定す る と 、 次の よ う にな り ます。
-
関連する I/O ピ ンが [Package Pins] ウ ィ ン ド ウ の [Config] 列に表示 さ れます。
-
デザ イ ン を保存する と 、 次の制約が作成 さ れます。
set_property BITSTREAM.CONFIG.PERSIST NO [current_design]
set_property CONFIG_MODE <configuration_mode> [current_design]
°
°
コ ン フ ィ ギ ュ レーシ ョ ン モー ド を ク リ ッ ク し てダ イ ア ロ グ ボ ッ ク ス を開 く と 、説明、コ ン フ ィ ギ ュ レーシ ョ
ン図、 詳細情報への リ ン ク な ど の情報が表示 さ れ ます。 コ ン フ ィ ギ ュ レーシ ョ ン図を印刷す る には、 [Print]
を ク リ ッ ク し ます。
ピ ンが コ ン フ ィ ギ ュ レーシ ョ ン ピ ン と し て使用 さ れ、 コ ン フ ィ ギ ュ レーシ ョ ン後に汎用 I/O と し ては使用 さ
れない よ う にする には、 [Prohibit usage of the configuration pins as user I/O and persist after configuration] を オン
に し ます。 こ のオプシ ョ ン を オンにする と 、 デザ イ ン を保存 し た と き に次の制約が作成 さ れます。
set_property BITSTREAM.CONFIG.PERSIST YES [current_design]
注記 : コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ーム設定の詳細は、『Vivado Design Suite ユーザー ガ イ ド : プ ロ
グ ラ ムお よ びデバ ッ グ』 (UG908) [参照 11] の 「デバ イ ス コ ン フ ィ ギ ュ レーシ ョ ン ビ ッ ト ス ト リ ーム設定」 を
参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
14
第 2 章 : デバイ スの コ ン フ ィ ギ ュ レーシ ョ ン
重要 : JTAG コ ン フ ィ ギ ュ レーシ ョ ン モー ド は、 常に選択 さ れてい ます。 JTAG コ ン フ ィ ギ ュ レーシ ョ ン モー ド に加
え、 コ ン フ ィ ギ ュ レーシ ョ ン モー ド を も う 1 つ選択で き ます。
X-Ref Target - Figure 2-2
図 2-2 : [Edit Device Properties] ダ イ ア ログ ボ ッ ク ス
3.
[File] → [Save Constraints] を ク リ ッ ク し 、 制約を タ ーゲ ッ ト XDC フ ァ イ ルに保存 し ます。
注記 : コ ン フ ィ ギ ュ レ ーシ ョ ン モー ド と その他の多機能ピ ン と に競合が あ る か ど う か を解析す る 場合は、 第 3 章の
「多機能ピ ン」 を参照 し て く だ さ い。
ヒ ン ト : コ ン フ ィ ギ ュ レーシ ョ ン モー ド を設定す る 場合は、 [Edit] → [Undo] を ク リ ッ ク し て最後のア ク シ ョ ン を取 り
消す こ と がで き ます。 ま たは、 Tcl コ ン ソ ールに undo を入力 し ます。
デバイ ス コ ン フ ィ ギュ レーシ ョ ン モー ド を設定する Tcl コ マン ド 例
set_property CONFIG_MODE SPIx2 [current_design]
注記 : デフ ォル ト では、コ ン フ ィ ギ ュ レーシ ョ ン ピ ンは コ ン フ ィ ギ ュ レーシ ョ ン後に も コ ン フ ィ ギ ュ レーシ ョ ン ピ ン
と し て保持 さ れ る (persist) よ う には設定 さ れてい ません。 ピ ンが コ ン フ ィ ギ ュ レーシ ョ ン ピ ン と し て使用 さ れ、 コ ン
フ ィ ギ ュ レーシ ョ ン後に汎用 I/O に使用 さ れない よ う にす る には、 次の Tcl コ マン ド を使用 し ます。
set_property BITSTREAM.CONFIG.PERSIST YES [current_design]
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
15
第 2 章 : デバイ スの コ ン フ ィ ギ ュ レーシ ョ ン
[Device Constraints] ウ ィ ン ド ウの設定
[Device Constraints] ウ ィ ン ド ウ (図 2-3) では、 DCI_ CASCADE お よ び INTERNAL_VREF な ど の制約を設定で き ます。
ザ イ リ ン ク ス デバ イ ス には、 さ ま ざ ま な規格の イ ン タ ー フ ェ イ ス を サ ポー ト す る コ ン フ ィ ギ ュ レ ーシ ョ ン可能な
SelectIO™ イ ン タ ーフ ェ イ ス ド ラ イ バーお よびレ シーバーが含まれます。 こ の機能には、 出力駆動電流お よ びスルー
レ ー ト の プ ロ グ ラ マ ブ ル 制 御、 デ ジ タ ル 制御 イ ン ピ ー ダ ン ス (DCI) を 使 用 し た オ ン チ ッ プ 終端、 基 準電 圧
(INTERNAL_VREF) を内部生成す る 機能な ど が含まれます。
伝送 ラ イ ンの特性 イ ン ピーダ ン ス を一致 さ せる ため、 I/O 規格に よ っ て、 ザ イ リ ン ク ス DCI で ド ラ イ バーの出力 イ ン
ピーダ ン ス を制御する か、 ド ラ イ バー、 レ シーバー、 ま たはその両方に並列終端を追加で き ます。 DCI は I/O バン ク
内の イ ン ピーダ ン ス を動的に調整 し 、 VRN お よ び VRP ピ ンに配置 さ れてい る 外部精度基準抵抗にキ ャ リ ブ レーシ ョ
ン し ます。 こ れは、プ ロ セ ス のば ら つ き や温度お よ び電源電圧の変動に よ る I/O イ ン ピーダ ン ス の変化を補正 し ます。
DCI では、 各 I/O バン ク の 2 つの多目的基準ピ ン を使用 し て、 ド ラ イ バーの イ ン ピーダ ン ス ま たはバン ク のすべての
I/O の並列終端値を制御 し ます。
差動入力バ ッ フ ァ ーを使用する シ ン グルエン ド I/O 規格には、 VREF が必要です。 I/O バン ク に VREF が必要な場合は、
バン ク の次の ピ ン を VREF 電源入力 と し て使用 し ます。
•
UltraScale デバ イ ス では、 専用 VREF ピ ン
•
7 シ リ ーズ デバ イ ス では、 2 つの多機能 VREF ピ ン
ま たは、 INTERNAL_VREF 制約を使用 し て内部 VREF を生成す る こ と も で き ます。 内部基準電圧を使用する と 、 PCB
上で特定の VREF 電源レールを提供す る 必要がな く な り 、 I/O バン ク 内の多目的 VREF ピ ン を ほかの I/O ポー ト 割 り 当
てに使用で き る よ う にな り ます。 各 I/O バン ク には 1 つの VREF プ レーンがあ り 、 オプシ ョ ンでバン ク 全体に対 し て
INTERNAL_VREF を 1 つの電圧レベルに設定で き ます。
詳細は、 該当する デバ イ ス の SelectIO リ ソ ース ユーザー ガ イ ド [参照 12] を参照 し て く だ さ い。
X-Ref Target - Figure 2-3
図 2-3 : [Device Constraints] ウ ィ ン ド ウ
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
16
第 2 章 : デバイ スの コ ン フ ィ ギ ュ レーシ ョ ン
DCI_CASCADE 制約の作成
DCI_CASCADE 制約は、 DCI 基準電圧用に近接す る 複数の I/O バン ク を リ ン ク す る ために使用 し ます。 DCI 基準電圧
を含む I/O バン ク は 「マ ス タ ー」 と 呼ばれ、 カ ス ケー ド 内のその他すべての I/O バン ク は 「ス レーブ」 と 呼ばれます。
カ ス ケー ド のすべてのバン ク は、 デバ イ ス の同 じ I/O 列に配置 さ れてい る 必要があ り ます。
注記 : DCI_CASCADE 制約は、 7 シ リ ーズ デバ イ ス、 Zynq®-7000 AP SoC、 お よ び UltraScale デバ イ ス に対 し て設定で
き ま す。 こ の 制約 の 詳 細 は、 『Vivado Design Suite プ ロ パ テ ィ リ フ ァ レ ン ス ガ イ ド 』 (UG912) [ 参照 9] の
「DCI_CASCADE」 を参照 し て く だ さ い。
DCI_CASCADE 制約を作成す る には、 次の手順に従い ます。
1.
[Device Constraints] ウ ィ ン ド ウ の上部にあ る ド ロ ッ プダ ウ ン リ ス ト か ら [DCI Cascade] を選択 し ます (図 2-4)。
X-Ref Target - Figure 2-4
図 2-4 : [DCI Cascade] ド ロ ッ プ ダウン リ ス ト
2.
カ ス ケー ド 接続する I/O バン ク を右 ク リ ッ ク し 、 [Add DCI Cascade] を ク リ ッ ク し ます。
3.
[Add DCI Cascade] ダ イ ア ロ グ ボ ッ ク ス で新 し い DCI カ ス ケー ド のマ ス タ ー I/O バン ク を選択 し た ら 、 [OK] を ク
リ ッ ク し ます。
マ ス タ ー バン ク が [Device Constraints] ウ ィ ン ド ウ に表示 さ れます (図 2-5)。
注記 : DCI カ ス ケー ド には、 マ ス タ ー 1 つ と 少な く と も 1 つの ス レーブ I/O バン ク が必要です。
X-Ref Target - Figure 2-5
図 2-5 : DCI カ スケー ド のマス タ ー バン ク
ヒ ン ト : ま たは、 [Package] ウ ィ ン ド ウ ま たは [Package Pins] ウ ィ ン ド ウ で DCI_CASCADE 制約 を 作成 し ま す。 カ ス
ケー ド 接続する バン ク を右 ク リ ッ ク し 、 [Create a DCI Cascade] を ク リ ッ ク し ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
17
第 2 章 : デバイ スの コ ン フ ィ ギ ュ レーシ ョ ン
DCI_CASCADE 制約を作成する Tcl コ マ ン ド 例
set_property DCI_CASCADE {31 32} [get_iobanks 36]
注記 : こ の Tcl コ マン ド では、 get_iobanks コ マン ド でマ ス タ ー バン ク を指定 し ます。 こ の例では、 31 お よ び 32
が ス レーブ バン ク で、 36 がマ ス タ ー バン ク です。
DCI カ スケー ド 制約の変更 と 削除
DCI カ ス ケー ド を変更す る には、 [Device Constraints] ウ ィ ン ド ウ で次を実行 し ます。
•
マ ス タ ーを変更する には、 DCI カ ス ケー ド を右 ク リ ッ ク し 、 [Add DCI Cascade] を ク リ ッ ク し ます。 [Add DCI
Cascade] ダ イ ア ロ グ ボ ッ ク ス でマ ス ターにする 別のバン ク を選択 し ます。
•
DCI カ ス ケー ド に I/O バン ク を追加す る には、 その I/O バン ク を DCI カ ス ケー ド に ド ラ ッ グ ア ン ド ド ロ ッ プ し
ます。
•
DCI カ ス ケー ド か ら I/O バン ク を削除す る には、その I/O バン ク を [Unused] フ ォルダーに ド ラ ッ グ ア ン ド ド ロ ッ
プ し ます。
•
DCI カ ス ケー ド 全体を削除す る には、 その DCI カ ス ケー ド を右 ク リ ッ ク し て、 [Remove DCI Cascade Banks] を ク
リ ッ ク し ます (図 2-6)。
注記 : こ れを実行す る Tcl コ マ ン ド は次の と お り です。
set_property DCI_CASCADE {} [get_iobanks 36]
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
18
第 2 章 : デバイ スの コ ン フ ィ ギ ュ レーシ ョ ン
X-Ref Target - Figure 2-6
図 2-6 : DCI カ スケー ド バン ク の削除
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
19
第 2 章 : デバイ スの コ ン フ ィ ギ ュ レーシ ョ ン
INTERNAL_VREF 制約の作成
ザ イ リ ン ク ス デバ イ ス では、 INTERNAL_VREF 制約を イ ネーブルにす る と 、 オプシ ョ ンで内部生成 さ れた基準電圧
を使用で き ます。 内部生成す る と PCB 上で特定の VREF 電源レールを提供す る 必要がな く な り 、 I/O バン ク 内の多目
的 VREF ピ ン を通常の I/O ピ ン と し て使用で き る よ う にな り ます。
ヒ ン ト : INTERNAL_VREF 制約が設定 さ れていない I/O バン ク は、 [Device Constraints] ウ ィ ン ド ウ の [NONE] フ ォ ル
ダーの下に表示 さ れます。
INTERNAL_VREF 制約を作成す る には、 [Device Constraints] ウ ィ ン ド ウ (図 2-7) で該当す る 電圧フ ォ ルダー ([0.75V]
な ど) に I/O バン ク を ド ラ ッ グ ア ン ド ド ロ ッ プ し ます。
X-Ref Target - Figure 2-7
図 2-7 : 内部 VREF 制約の作成
INTERNAL_VREF 制約を作成する Tcl コ マ ン ド 例
set_property INTERNAL_VREF 0.750 [get_iobanks 14]
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
20
第 2 章 : デバイ スの コ ン フ ィ ギ ュ レーシ ョ ン
コ ン フ ィ ギ ュ レーシ ョ ン バン ク 電圧セ レ ク ト ピ ン
の設定
コ ン フ ィ ギ ュ レーシ ョ ン バン ク 電圧セ レ ク ト (CFGBVS) ロ ジ ッ ク 入力ピ ンは、 VCCO_0 お よ び GND 間で参照 さ れ ま
す。 CFGBVS ピ ンは、 High ま たは Low に設定 し て、 バン ク 0 の ピ ンの I/O 電圧サポー ト を決定す る 必要があ り ます。
Vivado ツールでは、 Tcl コ マ ン ド を使用 し て CFGBVS の接続を VCCO ま たは GND に設定 し ます。 コ ン フ ィ ギ ュ レー
シ ョ ン電圧 (VCCO_0 電圧) は 1.5、 1.8、 2.5、 ま たは 3.3 に設定で き ます。 こ れ ら の設定に基づいて、 DRC がバン ク 0、
14、 15 で実行 さ れます。 こ れ ら の値は、 IBIS モデルを エ ク ス ポー ト す る 際に も 使用 さ れます。
次に例を示 し ます。
set_property CFGBVS VCCO [current_design]
set_property CONFIG_VOLTAGE 3.3 [current_design]
デフ ォル ト では、 CFGBVS プ ロ パテ ィ は空です。 Vivado ツールで CFGBVS プ ロ パテ ィ が VCCO ま たは GND に設定 さ
れてい る かがチ ェ ッ ク さ れ ます。 CFGBVS プ ロ パテ ィ に値が設定 さ れてい る 場合は、 CONFIG_MODE プ ロ パテ ィ が
チ ェ ッ ク さ れ ます。 バン ク の IOSTANDARD お よ び CONFIG_VOLTAGE 設定に基づいて、 DRC メ ッ セージが表示 さ
れます。
CSV フ ァ イ ルを エ ク ス ポー ト す る と 、 CONFIG_MODE プ ロ パテ ィ の設定に基づいて、 バン ク 0、 14、 15 の VCCO 接
続情報が供給 さ れます。た と えば、JTAG/バ ウ ン ダ リ ス キ ャ ン を使用する 場合、CFGBVS が GND、CONFIG_VOLTAGE
が 3.3 に設定 さ れてい る と 、 ク リ テ ィ カル警告 DRC CFGBVS-4 が表示 さ れます。 こ れは、 CONFIG_VOLTAGE が 3.3
に設定 さ れてい ますが、 1.8 であ る VCCO に設定する 必要があ る こ と を示 し てい ます。
注記 : CFGBVS ピ ンの詳細は、該当する デバ イ ス の コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド [参照 10] を参照 し て く
だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
21
第 3章
I/O プ ラ ン ニ ング
概要
Vivado® IDE の [I/O Planning] レ イ ア ウ ト は、 エ ラ ボ レー ト 済みデザ イ ン、 合成済みデザ イ ン、 お よ び イ ンプ リ メ ン ト
済みデザ イ ンで使用で き ます。 こ の レ イ ア ウ ト には、 [Package] ウ ィ ン ド ウ と [Device] ウ ィ ン ド ウ が含まれます。 I/O
の情報は、 [Clock Resources]、 [Clock Regions]、 [Package Pins]、 [I/O Ports]、 [Device Constraints]、 お よ び [Properties] ウ ィ
ン ド ウ に表示 さ れます。
注記 : Vivado IDE の ウ ィ ン ド ウ の詳細は、『Vivado Design Suite ユーザー ガ イ ド : Vivado IDE の使用』 (UG893) [参照 13]
を参照 し て く だ さ い。
ヒ ン ト : I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト の場合は、 [I/O Planning] レ イ ア ウ ト がデフ ォ ル ト の レ イ ア ウ ト と し て使用 さ
れます。
[I/O Planning] レ イ ア ウ ト では、 次を実行で き ます。
•
デザ イ ン フ ロ ーの初期段階で I/O ポー ト の初期 リ ス ト を作成、 イ ン ポー ト 、 お よ び設定
•
デザ イ ン フ ロ ーの最後に ピ ン配置の最終的な検証を実行
•
関連ポー ト を イ ン タ ーフ ェ イ ス に ま と め、 パ ッ ケージ ピ ンに割 り 当て
•
全自動ま たは半自動 イ ン タ ラ ク テ ィ ブ モー ド を使用 し て I/O ポー ト の割 り 当て を制御
•
物理パ ッ ケージ ピ ンお よ びバン ク と 、 それに対応す る チ ッ プ上の I/O パ ッ ド 間の関係を表示
•
PCB と ザ イ リ ン ク ス デバ イ ス間の接続を最適化
•
デザ イ ンお よ びデバ イ ス の I/O 要件を解析
•
I/O ピ ン配置の コ ン フ ィ ギ ュ レーシ ョ ン ま たは PCB と FPGA デザ イ ンの両方の要件を満たすピ ン配置を定義
[I/O Planning] レ イ アウ ト の使用
[I/O Planning] レ イ ア ウ ト を開 く には、 エ ラ ボ レ ー ト 済み、 合成済み、 ま たは イ ン プ リ メ ン ト 済みのデザ イ ン を開い
て、 次のいずれかを実行 し ます。
•
[Layout] → [I/O Planning] を ク リ ッ ク し ます。
•
レ イ ア ウ ト セ レ ク タ ーか ら [I/O Planning] を選択 し ます。
•
New Project ウ ィ ザー ド を使用 し て新 し い I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト を作成 し ます。
注記 : I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト の作成方法は、『Vivado Design Suite ユーザー ガ イ ド : シ ス テ ム レベル デザ
イ ン入力』 (UG895) [参照 3] の 「I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト の作成」 を参照 し て く だ さ い。
図 3-1 に、 [I/O Planning] レ イ ア ウ ト を示 し ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
22
第 3 章 : I/O プ ラ ン ニ ング
X-Ref Target - Figure 3-1
図 3-1 : [I/O Planning] レ イ アウ ト
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
23
第 3 章 : I/O プ ラ ン ニ ング
デバイ ス リ ソ ースの表示
[Device] ウ ィ ン ド ウ お よ び [Package] ウ ィ ン ド ウ は、 デバ イ スお よ び配置 さ れた ロ ジ ッ ク リ ソ ース を グ ラ フ ィ カルに
表示 し ます。 ウ ィ ン ド ウ で ロ ジ ッ ク オブジ ェ ク ト ま たはデバ イ ス サ イ ト を選択す る と 、 その情報が [Properties] ウ ィ
ン ド ウ に表示 さ れます。 次に、 こ れ ら の ウ ィ ン ド ウ について詳細に説明 し ます。
ヒ ン ト : 特定のオブジ ェ ク ト ま たはデバ イ ス サ イ ト を検索する には、 [Edit] → [Find] コ マン ド を使用 し ます。 [Find] ダ
イ ア ロ グ ボ ッ ク ス では、 さ ま ざ ま なオブジ ェ ク ト タ イ プを検索で き、 デバ イ ス ま たはデザ イ ンで特定のオブジ ェ ク ト
を検索する 優れた フ ィ ルタ ー機能 も 含まれてい ます。 [Find Results] ウ ィ ン ド ウ か ら オブジ ェ ク ト を直接選択で き ます。
プ ロパテ ィ
[Properties] ウ ィ ン ド ウ には、 選択 し たオブジ ェ ク ト のプ ロ パテ ィ が表示 さ れ ます。 選択 し たオブジ ェ ク ト に よ っ て、
ウ ィ ン ド ウ の タ イ ト ル バーは変化 し ます。 多 く の場合、 [Properties] ウ ィ ン ド ウ にはオブジ ェ ク ト に関す る 異な る 情報
を表示する 複数の ビ ュ ーがあ り ます。 た と えば図 3-2 は、 I/O ポー ト のプ ロ パテ ィ を表示す る [I/O Port Properties] ウ ィ
ン ド ウ で、[General]、[Properties]、お よ び [Configure] ビ ュ ーが含まれます。[Properties] ウ ィ ン ド ウ を開 く には、[Window]
→ [Properties] を ク リ ッ ク し ます。
X-Ref Target - Figure 3-2
図 3-2 : [I/O Port Properties] ウ ィ ン ド ウ
ヒ ン ト : パ ッ ケージ ピ ンのプ ロ パテ ィ 情報を取得す る には、 Tcl コ マ ン ド を使用 し ます。 た と えば、 次の コ マン ド を
使用する と 、 指定 し たパ ッ ケージ ピ ンに関す る すべてのプ ロ パテ ィ が表示 さ れます。
report_property [get_package_pins <pin_number>]
次の コ マ ン ド を使用する と 、 指定 し たパ ッ ケージ ピ ンの最大 ト レース遅延が表示 さ れます。
get_property MAX_DELAY [get_package_pins <pin_number>]
Tcl コ マン ド の詳細は、『Vivado Design Suite Tcl コ マ ン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835) [参照 8] を参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
24
第 3 章 : I/O プ ラ ン ニ ング
ク ロ ッ ク 領域の リ ソ ースおよび統計
[Clock Regions] ウ ィ ン ド ウ を使用する と 、 ク ロ ッ ク 領域を簡単に選択で き ます。 [Clock Regions] ウ ィ ン ド ウ で ク ロ ッ
ク 領域を選択する と 、 [Package] お よ び [Device] ウ ィ ン ド ウ でその リ ージ ョ ナル ク ロ ッ ク 領域がハ イ ラ イ ト さ れます
(図 3-3)。
X-Ref Target - Figure 3-3
図 3-3 : [Clock Regions] ウ ィ ン ド ウ
ク ロ ッ ク 領域をハ イ ラ イ ト し た ら 、 [Clock Region Properties] ウ ィ ン ド ウ で選択 し た ク ロ ッ ク 領域のプ ロ パテ ィ を確認
で き ます。 [Clock Region Properties] ウ ィ ン ド ウ では、 次を実行で き ます。
•
[Statistics] ビ ュ ーを ク リ ッ ク し て、 選択 し た ク ロ ッ ク 領域の リ ソ ース統計お よ び内容を表示 し ます。
•
[Resources] ビ ュ ーを ク リ ッ ク し て、 ロ ジ ッ ク を割 り 当て る デバ イ ス ク ロ ッ ク リ ソ ース を見つけ ます (図 3-4)。
注記 : [Clock Regions Properties] ウ ィ ン ド ウ でオブジ ェ ク ト を選択す る と 、 [Device] ウ ィ ン ド ウ な ど、 開いてい る ほか
の ウ ィ ン ド ウ で も 選択 さ れます。
X-Ref Target - Figure 3-4
図 3-4 : [Clock Region Properties] ウ ィ ン ド ウの [Resources] ビ ュ ー
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
25
第 3 章 : I/O プ ラ ン ニ ング
[Clock Resources] ウ ィ ン ド ウ には、 使用可能な ク ロ ッ ク リ ソ ース が表示 さ れ る ので、 グ ロ ーバルお よ び リ ージ ョ ナル
ク ロ ッ ク ツ リ ーのエ レ メ ン ト のプ ラ ン ニ ン グお よ び配置に役立ち ます。 詳細は、 第 5 章の 「[Clock Resources] ウ ィ ン
ド ウ の使用」 を参照 し て く だ さ い。
I/O バン ク リ ソ ース
I/O リ ソ ースは、 [I/O Planning] レ イ ア ウ ト のどの ウ ィ ン ド ウ で も 選択で き、 図 3-3 に示 し た よ う に選択 し たデータ はほ
かのすべての ウ ィ ン ド ウ でハ イ ラ イ ト 表示 さ れ る ため、 物理パ ッ ケージ と 内部チ ッ プの関係を簡単に確認で き ます。
特定の I/O バン ク に関す る 情報を表示す る には、 次の手順に従い ます。
1.
[Package Pins] ウ ィ ン ド ウ で I/O バン ク の 1 つを選択 し ます。
2.
[I/O Bank Properties] ウ ィ ン ド ウ (図 3-5) の ビ ュ ーを ク リ ッ ク し 、 情報を確認 し ます。
X-Ref Target - Figure 3-5
図 3-5 : [I/O Bank Properties] ウ ィ ン ド ウ
多機能ピ ン
[Package Pins] ウ ィ ン ド ウ (図 3-6) には、 数種類のデー タ が表形式で表示 さ れます。 こ の ウ ィ ン ド ウ では、 次を実行で
き ます。
•
デー タ の展開表示、 フ ィ ル タ ー処理、 並べ替え
•
列を移動、 非表示、 設定変更 し て、 さ ま ざ ま な多機能ピ ン を表示お よ び比較
•
テ キ ス ト を入力する か、 ド ロ ッ プダ ウ ン リ ス ト か ら 値を選択 し 、 一部のセルを直接編集
[Package Pins] ウ ィ ン ド ウ には、 次の情報が含まれます。
•
[Type] : 多機能ピ ンの タ イ プ を示 し ます。
•
[Config] : デバ イ ス コ ン フ ィ ギ ュ レーシ ョ ン モー ド を設定 し た後の多機能ピ ンの ピ ン定義を示 し ます。
注記 : 多 く のデバ イ ス コ ン フ ィ ギ ュ レ ーシ ョ ン モー ド で多機能ピ ン が使用 さ れ ます。 詳細は、 「デバ イ ス コ ン
フ ィ ギ ュ レーシ ョ ン モー ド の設定」 を参照 し て く だ さ い。
•
その他の列 : 多機能ピ ンに関す る ロ ジ ッ ク ま たは コ ン フ ィ ギ ュ レーシ ョ ン モー ド な ど の情報を示 し ます。
•
GT、 メ モ リ コ ン ト ロ ー ラ ー、 ま たは PCI™ ロ ジ ッ ク を含むデザ イ ンで競合する 多機能ピ ン を示 し ます。
•
ア ス タ リ ス ク が付いてい る 場合、 I/O 規格ま たは駆動電流やスルー タ イ プな ど の属性がシ ス テ ムで生成 さ れたデ
フ ォル ト 以外に設定 さ れてい る こ と を示 し ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
26
第 3 章 : I/O プ ラ ン ニ ング
[Package] ウ ィ ン ド ウ では、 多機能ピ ンの機能が次のシ ン ボルで示 さ れます。
•
ク ロ ッ ク 兼用ピ ンは、 青い六角形のア イ コ ンで表示 さ れます。
•
VREF ピ ンには、 電源マー ク が表示 さ れます。
重要 : 専用 I/O ピ ンは、 バン ク ではな く タ ーゲ ッ ト デバ イ ス専用です。 た と えば、 VCCO や GND の よ う な専用 I/O ピ
は、 バン ク 特定ではな く デバ イ ス特定です。
X-Ref Target - Figure 3-6
図 3-6 : [Package Pins] ウ ィ ン ド ウ
I/O ポー ト の定義 と 設定
Vivado IDE を使用 し て、 I/O ポー ト を イ ン ポー ト 、 作成、 お よ び設定で き ます。
I/O ポー ト のイ ンポー ト
プ ロ ジ ェ ク ト の タ イ プに よ っ て、 次の方法で I/O ポー ト を イ ン ポー ト で き ます。
•
I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト : プ ロ ジ ェ ク ト 作成時、 ま たはフ ァ イ ルの イ ンポー ト 機能を使用 し て、 空の I/O
ピ ン プ ラ ン ニ ン グ プ ロ ジ ェ ク ト に XDC ま たは CSV フ ァ イ ルを イ ン ポー ト で き ます。詳細は、 「CSV フ ァ イ ルの
イ ンポー ト 」 お よ び 「XDC フ ァ イ ルの イ ンポー ト 」 を参照 し て く だ さ い。
•
RTL プ ロ ジ ェ ク ト : RTL フ ァ イ ルま たはヘ ッ ダーを使用 し て I/O プ ラ ン ニ ン グ用に RTL プ ロ ジ ェ ク ト を作成 し 、
設計プ ロ セ ス が進行 し てか ら よ り 完全な RTL ソ ース フ ァ イ ルを追加 し ます。 RTL ベース ま たは合成済みネ ッ ト
リ ス ト ベース のプ ロ ジ ェ ク ト を作成す る と 、 [I/O Ports] ウ ィ ン ド ウ にデザ イ ン で定義 さ れた I/O ポー ト が自動的
に表示 さ れます。
•
I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト を RTL プ ロ ジ ェ ク ト に変換 : I/O ピ ン プ ラ ン ニ ン グ プ ロ ジ ェ ク ト を RTL プ ロ
ジ ェ ク ト に変換 し 、 I/O ポー ト をデザ イ ン の最上位 Verilog ま たは VHDL モジ ュ ール定義に変換で き ます。 詳細
は、 「I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト の RTL プ ロ ジ ェ ク ト への変換」 を参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
27
第 3 章 : I/O プ ラ ン ニ ング
CSV フ ァ イルのイ ンポー ト
CSV フ ァ イ ルを イ ン ポー ト し て、 [I/O Planning] レ イ ア ウ ト の [I/O Ports] ウ ィ ン ド ウ に表示で き ます。こ れ ら の I/O ポー
ト を物理パ ッ ケージ ピ ンに割 り 当てて、 デバ イ ス ピ ンの設定を定義で き ます。
CSV フ ァ イ ルか ら I/O ポー ト の リ ス ト を イ ン ポー ト す る には、 次の手順に従い ます。
1.
[File] → [Import] → [Import I/O Ports] を ク リ ッ ク し ます。
2.
[Import I/O Ports] ダ イ ア ロ グ ボ ッ ク ス (図 3-7) で [CSV File] を オンに し 、 参照ボ タ ン を ク リ ッ ク し て イ ン ポー ト
する フ ァ イ ルを選択 し ます。
X-Ref Target - Figure 3-7
図 3-7 : [Import I/O Ports] ダ イ ア ログ ボ ッ ク ス
図 3-8 に、 CSV フ ァ イ ル形式を示 し ます。 CSV は、 FPGA やボー ド 設計で、 デバ イ ス ピ ンお よ びピ ン配置のデー タ を
交換する ために使用 さ れ る 標準フ ァ イ ル形式です。 Vivado IDE で I/O ピ ン関連のデー タ を イ ンポー ト する には、 特定
の CSV フ ァ イ ル形式が必要です。 詳細は、 付録 B 「CSV フ ァ イ ル形式の I/O ポー ト リ ス ト の使用」 を参照 し て く だ
さ い。
X-Ref Target - Figure 3-8
図 3-8 : CSV フ ァ イル形式の I/O ポー ト リ ス ト
CSV フ ァ イ ルでは、差動ペア を複数の方法で定義で き ます。 た と えば、DiffPair Signal お よ び DiffPair Type プ ロ パテ ィ
を定義する と 差動ペアが認識 さ れます。 ま た、 CSV フ ァ イ ルでペアの 1 つのポー ト のみを定義 し た場合、 ま たは 2 つ
のネ ッ ト に適切な名前が使用 さ れてい る 場合、 差動ペアが推論 さ れ ます。 詳細は、 付録 B の 「CSV フ ァ イ ルでの差
動ペア」 を参照 し て く だ さ い。 差動ペアが推論 さ れ る と 、 ペアの割 り 当て を確認する メ ッ セージが表示 さ れます
(図 3-9)。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
28
第 3 章 : I/O プ ラ ン ニ ング
X-Ref Target - Figure 3-9
図 3-9 : [Infer differential pairs] ダ イ ア ログ ボ ッ ク ス
CSV フ ァ イ ルには、 Vivado IDE では認識 さ れない追加情報を含め る こ と も で き ます。 イ ン ポー ト さ れた CSV フ ァ イ
ルに認識で き ない情報が含まれ る 場合、[Package Pins] ウ ィ ン ド ウ のユーザー列に表示 さ れます。ユーザー CSV フ ィ ー
ル ド の値を変更ま たは定義する には、 [Package Pins] ウ ィ ン ド ウ で右 ク リ ッ ク し 、 [Set User Column Values] を ク リ ッ ク
し ます。
注記 : CSV フ ァ イ ルのエ ク ス ポー ト の詳細は、 第 7 章の 「I/O ピ ン と パ ッ ケージ デー タ のエ ク ス ポー ト 」 を参照 し て
く だ さ い。
XDC フ ァ イルのイ ンポー ト
XDC フ ァ イ ルか ら I/O ポー ト の定義を イ ン ポー ト する には、 次の手順に従い ます。
1.
[File] → [Import] → [Import I/O Ports] を ク リ ッ ク し ます。
2.
[Import I/O Ports] ダ イ ア ロ グ ボ ッ ク ス (図 3-7) で [XDC File] を オンに し 、 参照ボ タ ン を ク リ ッ ク し て イ ン ポー ト
する フ ァ イ ルを選択 し ます。
XDC ではポー ト の方向は定義 さ れていないので、 方向は未定義にな り ます。 I/O ポー ト の方向を定義する には、 [I/O
Ports] ウ ィ ン ド ウ で右 ク リ ッ ク し 、 [Set Direction] を ク リ ッ ク し ます。 [I/O Ports] ウ ィ ン ド ウ で特定の I/O ポー ト の方向
を直接変更する こ と も で き ます。 詳細は、 「I/O ポー ト 方向の設定」 を参照 し て く だ さ い。
シ ングルエ ン ド または差動 I/O ポー ト の作成
I/O ピ ン プ ラ ン ニ ン グ プ ロ ジ ェ ク ト では、 新 し いポー ト を手動で定義で き ます。 デバ イ ス の電圧に関する 情報は、 ザ
イ リ ン ク ス デバ イ ス の資料を参照 し て く だ さ い。
注記 : [I/O Ports] ウ ィ ン ド ウ では、 各差動ペアが 1 行に表示 さ れます。 1 つの行に 2 つのポー ト が含まれ る ので、 かっ
こ 内に示 さ れ る ポー ト の合計数は行数 よ り も 大 き く な り ます。 [I/O Ports] ウ ィ ン ド ウ でのポー ト の合計数に一致す る
信号の リ ス ト を取得する には、 次の Tcl コ マ ン ド を使用 し ます。 get_ports * -filter {BUS_WIDTH == "" }
I/O ポー ト を作成す る には、 次の手順に従い ます。
1.
[I/O Ports] ウ ィ ン ド ウ で右 ク リ ッ ク し 、 [Create I/O Ports] を ク リ ッ ク し ます。
2.
[Create I/O Ports] ダ イ ア ロ グ ボ ッ ク ス (図 3-10) で次のオプシ ョ ン を指定 し 、 [OK] を ク リ ッ ク し ます。
°
[Name] : 作成する ポー ト ま たはバ ス の名前を指定 し ます。
°
[Direction] : ポー ト の方向を選択 し ます。
°
[Diff Pair] : 差動ペア信号を定義する 場合にオンに し ます。
注記 : 差動 I/O ポー ト を作成す る には、 こ のオプシ ョ ン を オンに し ます。 こ れに よ り 2 つのポー ト が作成 さ
れ、 負のポー ト の名前には _N 接尾辞が付 き ます。
°
[Create Bus] : バ ス を作成す る 場合にバ ス の範囲を指定 し ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
29
第 3 章 : I/O プ ラ ン ニ ング
°
[I/O standard] : I/O 規格を選択 し ます。
°
[Drive strength] : 駆動電流の値を選択 し ます。
°
[Slew type] : スルー レー ト の タ イ プを選択 し ます。
°
[Pull type] : 抵抗の タ イ プ を選択 し ます。
°
[In Term type] : 入力信号の並列終端プ ロ パテ ィ を定義 し ます。
X-Ref Target - Figure 3-10
図 3-10 : [Create I/O Ports] ダ イ ア ログ ボ ッ ク ス
シ ングルエ ン ド または差動 I/O ポー ト を作成する Tcl コ マ ン ド 例
•
シ ン グルエン ド I/O ポー ト を作成
create_port port_1 -direction in
•
差動 I/O ポー ト を作成
create_port port_2 -direction in -diff_pair
差動ペアの作成 と 分割
I/O ピ ン プ ラ ン ニ ン グ プ ロ ジ ェ ク ト で差動ペア を定義する には、 次の手順に従い ます。
1.
[I/O Ports] ウ ィ ン ド ウ で 2 つの I/O ポー ト を選択 し 、 右 ク リ ッ ク し て [Make Diff Pair] を選択 し ます。
重要 : [Make Diff Pair] オプシ ョ ンは RTL プ ロ ジ ェ ク ト では使用で き ません。 RTL プ ロ ジ ェ ク ト では、 適切な I/O バ ッ
フ ァ ーの イ ン ス タ ン シエーシ ョ ン を使用 し て、 ソ ース コ ー ド で差動ペア を定義す る 必要があ り ます。
[Make I/O Diff Pair] ダ イ ア ロ グ ボ ッ ク ス (図 3-11) では、ツールに よ り 2 つの I/O ポー ト が P 側 と N 側に割 り 当て
ら れてい ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
30
第 3 章 : I/O プ ラ ン ニ ング
X-Ref Target - Figure 3-11
図 3-11 : [Make I/O Diff Pair] ダ イ ア ログ ボ ッ ク ス
2.
P 側 と N 側の信号を入れ替え る 場合は [Swap] を ク リ ッ ク し 、 [OK] を ク リ ッ ク し ます。
ヒ ン ト : 差動ペア を 2 つのポー ト に分割す る には、 右 ク リ ッ ク し て [Split Diff Pair] を ク リ ッ ク し ます。
重要 : UltraScale™ デバ イ ス の差動ピ ン ペアの一方に制約を適用す る と 、 も う 一方に も 自動的に逆の制約が追加 さ れ
ま す。 た と えば、 P 側に PULLDOWN 制約を適用 し た場合、 N 側に PULLUP 制約が適用 さ れ ます。 制約を変更す る
と 、 最新の設定に よ り 以前の設定が上書き さ れます。
差動ペア を作成お よび分割する Tcl コ マ ン ド 例
•
差動ペア を作成
make_diff_pair_ports txp_out txn_out
•
差動ペア を分割
split_diff_pair_ports txp_out txn_out
I/O ポー ト の設定
ザ イ リ ン ク ス デバ イ ス には、 さ ま ざ ま な規格の イ ン タ ー フ ェ イ ス を サ ポー ト す る コ ン フ ィ ギ ュ レ ーシ ョ ン可能な
SelectIO™ イ ン タ ーフ ェ イ ス ド ラ イ バーお よびレ シーバーが含まれます。 こ れ ら の標準 イ ン タ ーフ ェ イ ス には、 出力
駆動電流お よ びスルー レー ト のプ ロ グ ラ マブル制御、 DCI を使用 し たオンチ ッ プ終端、 内部 VREF の生成な ど の機能
が含まれます。 1 つま たは複数の I/O ポー ト の I/O 規格、 駆動電流、 スルー レー ト の タ イ プ、 抵抗の タ イ プ、 お よ び
入力信号の並列終端を設定で き ます。 こ の方法は、 適切な特性が指定 さ れていない CSV ま たは XDC フ ァ イ ルか ら イ
ン ポー ト さ れたポー ト を設定す る 場合に便利です。 こ れ ら のポー ト を、 シ ス テ ム レベル デザ イ ンに必要な規格を サ
ポー ト する よ う 設定 し ます。 た と えば、1 つの I/O バン ク で組み合わせ る こ と がで き る I/O 規格 と で き ない I/O 規格が
あ り ます。
I/O バン ク の規格お よ び要件の詳細は、 該当す る デバ イ ス の SelectIO リ ソ ース ユーザー ガ イ ド [参照 12] を参照 し て
く だ さ い。 パ ッ ケージお よ びピ ン配置仕様については、該当す る デバ イ ス のパ ッ ケージお よ びピ ン配置ユーザー ガ イ
ド [参照 14] を参照 し て く だ さ い。 MIO ピ ン を含む Zynq®-7000 AP SoC ピ ンの詳細は、 『Zynq-7000 All Programmable
SoC テ ク ニ カル リ フ ァ レ ン ス マニ ュ アル』 (UG585) [参照 15] を参照 し て く だ さ い。
ポー ト ま たはポー ト のグループを設定する には、 次の手順に従い ます。
1.
[I/O Ports] ウ ィ ン ド ウ で、 ポー ト を選択 し ます。
2.
右 ク リ ッ ク し 、 [Configure I/O Ports] を ク リ ッ ク し ます。
3.
[Configure Ports] ダ イ ア ロ グ ボ ッ ク ス (図 3-12) で次のオプシ ョ ン を指定 し 、 [OK] を ク リ ッ ク し ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
31
第 3 章 : I/O プ ラ ン ニ ング
注記 : [Configure Ports] ダ イ ア ロ グ ボ ッ ク ス のオプシ ョ ンは、 タ ーゲ ッ ト デバ イ ス に よ っ て異な り ます。
°
[I/O standard] : I/O 規格を選択 し ます。 I/O 規格は指定 し た と き にはチ ェ ッ ク さ れません。 ポー ト にはど の I/O
規格で も 割 り 当て る こ と がで き ますが、 DRC を実行 し た と き にエ ラ ー と な る 可能性があ り ます。
°
[Drive strength] : 駆動電流の値を選択 し ます。
°
[Slew type] : スルー レー ト の タ イ プを選択 し ます。
°
[Pull type] : 抵抗の タ イ プ を選択 し ます。
-
[PULLUP] : ト ラ イ ス テー ト 出力ま たは双方向ポー ト に ウ ィ ー ク High を適用 し 、 駆動 さ れていない場合
に未接続にな ら ない よ う に し ます。
-
[PULLDOWN] : ト ラ イ ス テー ト 出力ま たは双方向ポー ト に ウ ィ ー ク Low を適用 し 、 駆動 さ れていない
場合に未接続にな ら ない よ う に し ます。
-
[KEEPER] : ト ラ イ ス テー ト 出力ま たは双方向ポー ト に ウ ィ ー ク ド ラ イ バーを適用 し 、 駆動 さ れていな
い場合に値を保持 し ます。
-
[NONE] : ド ラ イ バーは適用 さ れません。
注記 : 抵抗の タ イ プは、 [I/O Ports] ウ ィ ン ド ウ の [Pull Type] 列を ク リ ッ ク し て も 設定で き ます。
°
°
°
[In Term Type] (7 シ リ ーズ デバ イ ス のみ) : 入力信号の並列終端プ ロ パテ ィ を定義 し ます。 詳細は、 『7 シ リ ー
ズ FPGA SelectIO リ ソ ース ユーザー ガ イ ド 』 (UG471) [参照 12] を参照 し て く だ さ い。
[ODT] (UltraScale デバ イ ス のみ) : サポー ト さ れてい る I/O 規格の DCI お よ び非 DCI バージ ョ ンの入力に対
し 、 オ ン ダ イ 終端 (ODT) の値を定義 し ます。 詳細は、 『UltraScale アーキテ ク チ ャ SelectIO リ ソ ース Advance
仕様ユーザー ガ イ ド 』 (UG571) [参照 12] を参照 し て く だ さ い。
[Fixed] : 論理ポー ト がユーザーの割 り 当てた も のであ る こ と を示 し ます。 ビ ッ ト ス ト リ ームがエ ラ ーな く 生
成 さ れ る よ う にする ため、 ポー ト を固定する 必要があ り ます。
[Configure Ports] ダ イ ア ロ グ ボ ッ ク ス では [Fixed] オプシ ョ ンは表示のみで、 設定で き ません。 ポー ト を固定
する には、 [I/O Ports] ウ ィ ン ド ウ でポー ト を選択 し て [Fix Ports] ツールバー ボ タ ン
を ク リ ッ ク す る か、
Tcl コ ン ソ ールに次の Tcl コ マン ド を入力 し ます。
set_property IS_LOC_FIXED true [get_selected_objects]
ま たは、 次の Tcl コ マ ン ド を使用 し ます。
set_property IS_LOC_FIXED true [get_ports <list_of_ports>]
X-Ref Target - Figure 3-12
図 3-12 : [Configure Ports] ダ イ ア ログ ボ ッ ク ス
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
32
第 3 章 : I/O プ ラ ン ニ ング
注意 : 7 シ リ ーズ デバ イ ス、 Zynq-7000 AP SoC、 お よ び UltraScale デバ イ ス の場合、 ビ ッ ト ス ト リ ーム フ ァ イ ルを生
成する には、 すべての I/O ポー ト の PACKAGE_PIN お よ び IOSTANDARD 制約の値が明確に指定 さ れてい る 必要があ
り ます。 [I/O Ports] ウ ィ ン ド ウ に赤で 「default」 と 表示 さ れてい る 場合は、 こ れ ら の値を手動で指定す る 必要があ
り ます。 こ れ ら のデバ イ ス には高電圧お よ び低電圧の I/O バン ク があ る ので、 I/O 規格を割 り 当て る 際は注意が必要
です。
I/O ポー ト 方向の設定
I/O ポー ト の方向を指定す る には、 次のいずれかの方法を使用 し ます。
•
•
I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト のみ :
°
[I/O Ports] ウ ィ ン ド ウ で [Direction] 列を ク リ ッ ク し 、 ド ロ ッ プ ダ ウ ン リ ス ト か ら 方向を選択 し ます。
°
[I/O Ports] ウ ィ ン ド ウ でポー ト を ク リ ッ ク し 、 [I/O Port Properties] ウ ィ ン ド ウ でその方向を変更 し ます。
°
[I/O Ports] ウ ィ ン ド ウ で I/O ポー ト 、バス、 ま たは イ ン タ ーフ ェ イ ス を右 ク リ ッ ク し 、[Set Direction] を ク リ ッ
ク し ます。
RTL プ ロ ジ ェ ク ト のみ : RTL ソ ース でポー ト の方向を定義 し ます。
重要 : ポー ト 方向プ ロ パテ ィ は、 I/O ピ ン プ ラ ン ニ ン グ プ ロ ジ ェ ク ト 内でのみ設定で き ます。 こ のプ ロ パテ ィ を I/O
ピ ン プ ラ ン ニ ン グ プ ロ ジ ェ ク ト 外で変更 し よ う と す る と 、 ク リ テ ィ カル警告が表示 さ れます。
I/O ポー ト イ ン タ ー フ ェ イ スの作成
複数のポー ト ま たはバ ス を グループ化する には、 イ ン タ ーフ ェ イ ス を作成 し ます。 イ ン タ ーフ ェ イ ス ポー ト が 1 つの
グループ と し て処理 さ れ る ので、 ピ ンの割 り 当てに有益です。 ピ ン をすべて同時に割 り 当て る と 、 ク ロ ッ ク 領域ま た
は PCB 配線関連の イ ン タ ーフ ェ イ ス を ま と めた り 分離 し やす く な り ます。 特定の ロ ジ ッ ク イ ン タ ーフ ェ イ ス に関連
付け ら れた信号の表示お よ び管理 も 容易にな り ます。
イ ン タ ーフ ェ イ ス を作成する には、 次の手順に従い ます。
1.
[I/O Ports] ウ ィ ン ド ウ で、 グループ化する 信号を選択 し ます。
2.
右 ク リ ッ ク し て [Create I/O Port Interface] を ク リ ッ ク し ます。
3.
[Create I/O Port Interface] ダ イ ア ロ グ ボ ッ ク ス (図 3-13) で、 イ ン タ ーフ ェ イ ス の名前を指定 し 、 [Assign] チ ェ ッ ク
ボ ッ ク ス を オン/オ フ に設定 し て、 [OK] を ク リ ッ ク し ます。
X-Ref Target - Figure 3-13
図 3-13 : [Create I/O Port Interface] ダ イ ア ログ ボ ッ ク ス
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
33
第 3 章 : I/O プ ラ ン ニ ング
イ ン タ ーフ ェ イ ス が [I/O Ports] ウ ィ ン ド ウ に展開可能な フ ォルダー と し て表示 さ れます (図 3-14)。
X-Ref Target - Figure 3-14
図 3-14 : [I/O Ports] ウ ィ ン ド ウの I/O ポー ト イ ン タ ー フ ェ イ ス
ヒ ン ト : イ ン タ ーフ ェ イ ス を削除す る には、 削除す る イ ン タ ーフ ェ イ ス を選択 し 、 右 ク リ ッ ク し て [Delete] を ク リ ッ
ク する か、 Delete キーを押 し ます。
イ ン タ ー フ ェ イ スへの I/O ポー ト の追加
イ ン タ ーフ ェ イ ス に I/O ポー ト を追加す る には、 [I/O Ports] ウ ィ ン ド ウ で次のいずれかを実行 し ます。
•
I/O ポー ト を選択 し 、 イ ン タ ーフ ェ イ ス フ ォ ルダーに ド ラ ッ グ し ます。
•
ポー ト ま たはバ ス を右 ク リ ッ ク し 、 [Assign to Interface] を ク リ ッ ク し ます。 [Select I/O Port Interface] ダ イ ア ロ グ
ボ ッ ク ス で、 イ ン タ ーフ ェ イ ス を選択 し ます。
イ ン タ ー フ ェ イ スか ら の I/O ポー ト の削除
I/O ポー ト を削除する には、[I/O Ports] ウ ィ ン ド ウ でポー ト を右 ク リ ッ ク し 、[Unassign from Interface] を ク リ ッ ク し ます。
I/O ポー ト イ ン タ ー フ ェ イ ス を処理する Tcl コ マ ン ド 例
•
I/O ポー ト イ ン タ ーフ ェ イ ス を作成
create_interface interface_1
set_property INTERFACE interface_1 [get_ports [list {test_1[3]} {test_1[2]} \
{test_1[1]} {test_1[0]} {test_1_n[3]} {test_1_n[2]} {test_1_n[1]} \
{test_1_n[0]}]]
set_property INTERFACE interface_1 [get_ports [list port_2 port_2_N port_1 \
port_4]]
•
イ ン タ ーフ ェ イ ス か ら I/O ポー ト を削除
set_property INTERFACE "" [get_ports [list port_2 port_2_N]]
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
34
第 3 章 : I/O プ ラ ン ニ ング
I/O ポー ト イ ン タ ー フ ェ イ スの自動推論
推奨 : プ ロ ジ ェ ク ト でパーツ ではな く プ ラ ッ ト フ ォーム ボー ド を タ ーゲ ッ ト に し てい る 場合は、 Vivado Design Suite
プ ラ ッ ト フ ォーム ボー ド フ ロ ーを使用 し 、[Customize IP] ダ イ ア ロ グ ボ ッ ク ス の [Board] タ ブ ま たは Vivado IP イ ン テ
グ レー タ ーの [Board] ウ ィ ン ド ウ でボー ド ピ ン配置制約を設定お よ び適用する こ と をお勧め し ます。 プ ラ ッ ト フ ォー
ム ボー ド フ ロ ーの詳細は、『Vivado Design Suite ユーザー ガ イ ド : シ ス テ ム レベル デザ イ ン入力』 (UG895) [参照 3] の
「Vivado Design Suite ボー ド フ ロ ーの使用」 を参照 し て く だ さ い。
IP か ら デザ イ ンの最上位ポー ト に接続 さ れ る イ ン タ ーフ ェ イ ス を表示で き ます。 こ れ ら の IP イ ン タ ーフ ェ イ ス に対
し ては、 Vivado ツールで自動的に ピ ン プ ラ ン ニ ン グ イ ン タ ーフ ェ イ ス が推論 さ れ、 関連す る 最上位 I/O ポー ト がグ
ループ化 さ れます。 こ れに よ り 、 最上位デザ イ ンの コ ン テキ ス ト 内で イ ン タ ーフ ェ イ ス が象徴的に参照 さ れ る よ う に
な り ます。 た と えば図 3-15 では、 led_8bits_tri_o バ ス は汎用 I/O (GPIO) イ ン タ ーフ ェ イ ス で、 GPIO_9847 ピ
ン プ ラ ン ニ ン グ イ ン タ ーフ ェ イ ス の下に ま と め ら れてい ます。
I/O ポー ト に関連す る ボー ド パーツ ピ ンは、[I/O Ports] ウ ィ ン ド ウ の [Board Part Pin] 列で確認で き ます。図 3-15 では、
ピ ン プ ラ ン ニ ン グ イ ン タ ー フ ェ イ ス GPIO_9847 に 関連 付 け ら れ て い る ポ ー ト が ボ ー ド パ ー ツ ピ ン の
leds_8bits_tri_o[7:0] に制約 さ れてい ます。
注記 : GPIO_9847 の番号 9847 は、 デザ イ ンに複数の GPIO イ ン タ ーフ ェ イ ス があ る 場合に、 GPIO イ ン タ ーフ ェ イ
ス を識別する ために使用 さ れてい ます。 番号自体に意味はあ り ません。
X-Ref Target - Figure 3-15
図 3-15 : I/O ポー ト イ ン タ ー フ ェ イ スの自動推論
プ ラ ッ ト フ ォ ーム ボー ド フ ローで使用する Tcl コ マ ン ド 例
•
ボー ド パーツ イ ン タ ーフ ェ イ ス を取得
get_board_part_interfaces
•
ボー ド イ ン タ ーフ ェ イ ス に関連付け ら れてい る ポー ト を取得
get_board_interface_ports -of [get_board_part_interfaces]
•
ポー ト のプ ロ パテ ィ を取得
get_property NAME [lindex [get_board_interface_ports] 5]
get_property DIRECTION [lindex [get_board_interface_ports] 5]
•
ボー ド パーツ ピ ンか ら プ ロ パテ ィ を取得
get_property IOSTANDARD [get_board_part_pins]
get_property LOC [get_board_part_pins]
注記 : get_board_part_interfaces Tcl コ マ ン ド の詳細は、 『Vivado Design Suite Tcl コ マン ド リ フ ァ レ ン ス ガ イ
ド 』 (UG835) [参照 8] の 「get_board_part_interfaces」 を参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
35
第 3 章 : I/O プ ラ ン ニ ング
I/O ピ ンおよび I/O バン クの使用禁止
[I/O Planning] レ イ ア ウ ト では、I/O ピ ン、I/O ピ ンのグループ、 ま たは I/O バン ク を使用禁止に設定で き ます。[Device]、
[Package]、 [Package Pins] ウ ィ ン ド ウ で ピ ン を選択 し 、 使用禁止に し ます。
I/O ピ ン ま たは I/O バン ク を使用禁止にす る には、 次の手順に従い ます。
1.
[Device]、 [Package]、 ま たは [Package Pins] ウ ィ ン ド ウ で、 I/O ピ ン ま たは I/O バン ク を選択 し ます。
2.
右 ク リ ッ ク し て [Set Prohibit] を ク リ ッ ク し ます。
使用禁止に設定 さ れた ピ ンは、 次の よ う に表示 さ れます。
•
[Device] ウ ィ ン ド ウお よ び [Package] ウ ィ ン ド ウ では、 斜線の入っ た赤丸が表示 さ れます (図 3-16)。
•
[Package Pins] ウ ィ ン ド ウ の [Prohibit] 列にチ ェ ッ ク マー ク が表示 さ れます。
X-Ref Target - Figure 3-16
図 3-16 : 使用禁止に設定 さ れたパ ッ ケージ ピ ン
ヒ ン ト : 禁止設定は、 [Package Pins] ウ ィ ン ド ウ の [Prohibit] 列で削除で き ま す。 個々の禁止 を 選択す る か、 Ctrl + A
キーを押 し てすべての ピ ン を選択 し 、 右 ク リ ッ ク し て [Clear Prohibit] を ク リ ッ ク し ます。 ま たは、 次の Tcl コ マ ン ド
を使用 し て、 禁止設定を削除する こ と も 可能です。
set_property prohibit 0 [get_sites U17]
I/O ピ ン を使用禁止にする Tcl コ マ ン ド 例
set_property PROHIBIT 1 [get_sites U17]
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
36
第 3 章 : I/O プ ラ ン ニ ング
I/O ポー ト の配置
[I/O Planning] レ イ ア ウ ト では、 複数の方法で I/O ポー ト をパ ッ ケージ ピ ンに割 り 当て る こ と がで き ます。 [I/O Ports]
ウ ィ ン ド ウ で個別の I/O ポー ト 、 I/O ポー ト のグループ、 ま たは イ ン タ ーフ ェ イ ス を選択 し 、 [Package] ウ ィ ン ド ウ で
パ ッ ケージ ピ ンに、 ま たは [Device] ウ ィ ン ド ウ で I/O パ ッ ド に割 り 当て る こ と がで き ます。
[Package] ウ ィ ン ド ウ では、 次の操作を実行で き ます。
•
ポー ト をパ ッ ケージ ピ ンに ド ラ ッ グ ア ン ド ド ロ ッ プ し ます。
•
ポー ト の配置 と 制約を確認 し ます。
•
ピ ン上にカー ソ ルを移動 し 、 ウ ィ ン ド ウ の上 と 左に I/O ピ ンの座標を表示 し ます。
•
ピ ン上にカー ソ ルを置き 、 ピ ン情報を含むツール ヒ ン ト を表示 し ます。
•
差動ペアは、 [Show Differential Pairs] ツールバー ボ タ ン
を使用す る と 表示で き ます。
注記 : I/O ピ ンお よ びバン ク のその他の情報は、 Vivado IDE の下部にあ る ス テー タ ス バーに表示 さ れます。
I/O ポー ト の順次配置
I/O ポー ト を順次に配置す る には、 次の手順に従い ます。
1.
[I/O Ports] ウ ィ ン ド ウ で、 個別の I/O ポー ト 、 I/O ポー ト のグループ、 ま たは イ ン タ ーフ ェ イ ス を選択 し ます。
2.
次のいずれかを実行 し ます。
°
[I/O Ports] ウ ィ ン ド ウ で右 ク リ ッ ク し 、 [Place I/O Ports Sequentially] を ク リ ッ ク し ます。
°
[Package] ま たは [Device] ウ ィ ン ド ウ で、 ツールバーの [Place Ports] ボ タ ン を ク リ ッ ク し 、 [Place I/O Ports
Sequentially]
を オンに し ます。
カー ソ ルをパ ッ ケージ ピ ン ま たは I/O パ ッ ド 上に移動する と 、 グループの最初の I/O ポー ト がカー ソ ル と 共に移
動 し ます。 ツール ヒ ン ト には、 I/O ポー ト 名お よ びパ ッ ケージ ピ ン名が表示 さ れます。
3.
ピ ン ま たはパ ッ ド を ク リ ッ ク する と 、 I/O ポー ト が割 り 当て ら れます。
配置 さ れていない I/O ポー ト が残っ てい る 場合は、 コ マ ン ド は継続 さ れ ます。 I/O ポー ト がすべて配置 さ れ る ま
で こ の操作を繰 り 返すか、 ま たは Esc キーを押 し てモー ド を解除 し ます。
ヒ ン ト : ポー ト は、 [I/O Ports] ウ ィ ン ド ウ に表示 さ れてい る 順序で割 り 当て ら れます。 割 り 当ての前に [I/O Ports] ウ ィ
ン ド ウ でポー ト を並べ替え てお く と 、 並べ替え ら れた順序で割 り 当て る こ と がで き ます。
図 3-17 に I/O ポー ト を順次に配置 し てい る と こ ろ を示 し ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
37
第 3 章 : I/O プ ラ ン ニ ング
X-Ref Target - Figure 3-17
図 3-17 : I/O ポー ト を順次に配置
I/O ポー ト の I/O バン ク への配置
I/O ポー ト を I/O バン ク に配置す る には、 次の手順に従い ます。
1.
[I/O Ports] ウ ィ ン ド ウ で、 個別の I/O ポー ト 、 I/O ポー ト のグループ、 ま たは イ ン タ ーフ ェ イ ス を選択 し ます。
2.
次のいずれかを実行 し ます。
°
[I/O Ports] ウ ィ ン ド ウ で右 ク リ ッ ク し 、 [Place I/O Ports in an I/O Bank] を ク リ ッ ク し ます。
°
[Package] ま たは [Device] ウ ィ ン ド ウ で、 ツールバーの [Place Ports] ボ タ ン を ク リ ッ ク し 、 [Place I/O Ports in
an I/O Bank]
を オンに し ます。
カー ソ ルをパ ッ ケージ ピ ン ま たは I/O パ ッ ド 上に移動す る と 、 I/O ポー ト のグループがカー ソ ル と 共に移動 し ま
す。 ツール ヒ ン ト には、 カー ソ ルの置かれた I/O バン ク に配置可能な ピ ン数が表示 さ れます。
3.
ピ ン ま たはパ ッ ド を ク リ ッ ク する と 、 選択 さ れた I/O ポー ト が割 り 当て ら れます。
I/O バン ク に収ま ら ない数の I/O ポー ト が選択 さ れてい る 場合、選択 さ れた I/O バン ク にで き る だけ多 く のポー ト
が配置 さ れ、 残 り のポー ト を配置す る 別の I/O バン ク を選択で き ます。 I/O ポー ト がすべて配置 さ れ る ま で こ の
操作を繰 り 返すか、 ま たは Esc キーを押 し てモー ド を解除 し ます。
ヒ ン ト : ポー ト は、 [I/O Ports] ウ ィ ン ド ウ に表示 さ れてい る 順序で割 り 当て ら れます。 割 り 当ての前に [I/O Ports] ウ ィ
ン ド ウ でポー ト を並べ替え てお く と 、 並べ替え ら れた順序で割 り 当て る こ と がで き ます。
デバ イ ス リ ソ ースへのポー ト の割 り 当て も 、 I/O バン ク の最初の選択か ら 実行 さ れ ます。 I/O バン ク の一端にあ る ピ
ン を選択する と 、 バ ス が I/O バン ク 全体に割 り 当て ら れます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
38
第 3 章 : I/O プ ラ ン ニ ング
バ ス に関連す る PCB 配線 も 考慮 さ れます。 ピ ンは、 割 り 当て領域内でバ ス ビ ッ ト のベ ク タ ーが保持 さ れ る よ う な順
序で割 り 当て ら れます。 割 り 当てパ タ ーンは、 その他のバ ス配線の考慮事項に対応する よ う カ ス タ マ イ ズで き ます。
図 3-18 に、 I/O バン ク に I/O ポー ト を配置す る と こ ろ を示 し ます。
X-Ref Target - Figure 3-18
図 3-18 : I/O ポー ト の I/O バン ク への配置
ポー ト を I/O バン ク に配置する Tcl コ マ ン ド 例
•
I/O バン ク にポー ト を配置
place_ports -iobank [get_iobanks {12 13 14 15}] [all_inputs]
•
すべての I/O バン ク にポー ト を配置
place_ports -iobank [lrange [get_iobanks] 1 end] <port list>
注記 : place_ports コ マ ン ド はバン ク 0 に対 し てはサポー ト さ れません。
I/O ポー ト の定義 さ れた領域への配置
I/O ポー ト を定義 さ れた領域に配置す る には、 次の手順に従い ます。
1.
[I/O Ports] ウ ィ ン ド ウ で、 個別の I/O ポー ト 、 I/O ポー ト のグループ、 ま たは イ ン タ ーフ ェ イ ス を選択 し ます。
2.
次のいずれかを実行 し ます。
°
[I/O Ports] ウ ィ ン ド ウ で右 ク リ ッ ク し 、 [Place I/O Ports in Area] を ク リ ッ ク し ます。
°
[Package] ま たは [Device] ウ ィ ン ド ウ で、 ツールバーの [Place Ports] ボ タ ン を ク リ ッ ク し 、 [Place I/O Ports in
Area]
を オンに し ます。
カー ソ ルが十字型に変化 し 、 ポー ト を配置する 長方形を定義で き る よ う にな り ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
39
第 3 章 : I/O プ ラ ン ニ ング
3.
[Package] ウ ィ ン ド ウ ま たは [Device] ウ ィ ン ド ウ のいずれかで長方形を描画 し 、 割 り 当て領域を定義 し ます。
定義 し た領域に選択 さ れた I/O ポー ト すべて を配置で き ない場合は、 コ マン ド が継続 し ます。 カー ソ ルは十字型
の ま ま で、 残 り の I/O ポー ト がすべて配置 さ れ る ま で、 長方形を続け て描画で き ま す。 Esc キーを 押す と 、 I/O
ポー ト を配置 し 終え る 前に コ マ ン ド を終了で き す。
ヒ ン ト : ポー ト は、 [I/O Ports] ウ ィ ン ド ウ に表示 さ れてい る 順序で割 り 当て ら れます。 割 り 当ての前に [I/O Ports] ウ ィ
ン ド ウ でポー ト を並べ替え てお く と 、 並べ替え ら れた順序で割 り 当て る こ と がで き ます。
長方形の描画方向に よ っ て割 り 当て順序が変わ り ます。 I/O ポー ト は最初に選択 さ れた長方形の座標の内側の ピ ンか
ら 順に割 り 当て ら れます。 領域の長方形の定義を工夫す る こ と に よ り 、 PCB 配線の観点か ら 有益な ピ ン配置が得 ら れ
ます。
図 3-19 に、 I/O ポー ト を領域に配置す る と こ ろ を示 し ます。
X-Ref Target - Figure 3-19
図 3-19 : I/O ポー ト の領域への配置
配置済み I/O ポー ト の入れ替え
配置済みの 2 つの I/O ポー ト の配置を入れ替え る には、 次の手順に従い ます。
1.
使用可能な ウ ィ ン ド ウ で 2 つの I/O ポー ト を選択 し ます。
2.
右 ク リ ッ ク し て [Swap Locations] を ク リ ッ ク し ます。
重要 : イ ンプ リ メ ン ト 済みデザ イ ンで固定 さ れていない 2 つのポー ト の配置を入れ替え る と 、 入れ替え る こ と に よ り
ポー ト の配置が固定 さ れ、 制約が XDC フ ァ イ ルに記述 さ れます。
配置済み I/O ポー ト の移動
既に割 り 当て ら れてい る ポー ト ま たはポー ト のグループを移動する には、選択し て別の場所に ド ラ ッ グ し ます。あ る I/O
バン ク のポー ト グループを別の I/O バン ク に移動する場合、 選択し たポー ト に最適な場所が自動的に検出さ れます。
注記 : こ れは、 [Place I/O Ports in an I/O Bank] コ マン ド を使用す る の と 同様です。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
40
第 3 章 : I/O プ ラ ン ニ ング
I/O ポー ト の自動配置
開いてい る 合成済みデザ イ ンで、I/O ポー ト をパ ッ ケージ ピ ンに自動的に割 り 当て る こ と がで き ます。自動配置では、
I/O 規格お よ び差動ペアの規則すべてに従い、 グ ロ ーバル ク ロ ッ ク ピ ンが正 し く 配置 さ れます。
I/O ポー ト を自動配置す る には、 次の手順に従い ます。
1.
[I/O Ports] ウ ィ ン ド ウ で、 自動配置する I/O ポー ト を選択 し ます。
2.
[Tools] → [I/O Planning] → [Autoplace I/O Ports] を ク リ ッ ク し ます。
注記 : ま たは、 [I/O Ports] ウ ィ ン ド ウ で右 ク リ ッ ク し て [Auto-place I/O Ports] を ク リ ッ ク し ます。
3.
Autoplace I/O Ports ウ ィ ザー ド (図 3-20) で、 配置す る I/O ポー ト を選択 し 、 [Next] を ク リ ッ ク し ます。
X-Ref Target - Figure 3-20
図 3-20 : Autoplace I/O Ports ウ ィ ザー ド
4.
既にパ ッ ケージ ピ ンに割 り 当て ら れてい る I/O ポー ト を選択 し てい る 場合、 [Placed I/O Ports] ページ (図 3-21) で
オプシ ョ ン を選択 し 、 [Next] を ク リ ッ ク し ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
41
第 3 章 : I/O プ ラ ン ニ ング
X-Ref Target - Figure 3-21
図 3-21 : Autoplace I/O Ports ウ ィ ザー ド の [Placed I/O Ports] ページ
5.
[Place I/O Ports Summary] で [Finish] を ク リ ッ ク し ます。
ギガ ビ ッ ト ト ラ ン シーバーの I/O ポー ト の配置
GT を よ り 適切に管理す る ため、 [I/O Planning] レ イ ア ウ ト の ウ ィ ン ド ウ では、 関連す る 2 つの I/O 差動ペア と GTX ロ
ジ ッ ク オブジ ェ ク ト が、 選択、 配置、 移動の際に自動的にグループ化 さ れます。 GT の複数のオブジ ェ ク ト は 1 つの
オブジ ェ ク ト と し て選択 さ れ、 ま と めて移動 さ れ る ので、 GT リ ソ ース の無効な配置は実行 さ れません。
イ ン タ ラ ク テ ィ ブ DRC がオンの場合、 ポー ト 配置中に、 GTX の周囲の ノ イ ズの影響を受けやすい I/O ピ ンが自動的
に配置禁止にな り ます。 詳細は、 第 6 章の 「 イ ン タ ラ ク テ ィ ブ DRC のデ ィ ス エーブル/ イ ネーブル」 を参照 し て く だ
さ い。
ト ラ ン シーバーの配置規則の詳細は、 該当す る デバ イ ス の GTX/GTH ト ラ ン シーバー ユーザー ガ イ ド [参照 16] を参
照 し て く だ さ い。
I/O 配置制約の削除
配置制約を削除する には、 配置 さ れた ロ ジ ッ ク を右 ク リ ッ ク し 、 [Unplace] を ク リ ッ ク し ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
42
第 3 章 : I/O プ ラ ン ニ ング
I/O プ ラ ン ニ ン グ プ ロ ジ ェ ク ト の RTL プ ロ ジ ェ ク ト
への変換
I/O ポー ト を定義 し てパ ッ ケージ ピ ンに配置 し ら 、I/O ピ ン プ ラ ン ニ ン グ プ ロ ジ ェ ク ト を RTL プ ロ ジ ェ ク ト に変換で
き ます。 ポー ト 定義は、 RTL デザ イ ンの最上位モジ ュ ールを指定に応 じ て Verilog ま たは VHDL のいずれかで作成す
る ために使用 さ れ ます。 差動ペア バ ッ フ ァ ーは最上位モジ ュ ールに追加 さ れ ます。 バ ス 定義 も RTL に含 ま れ ます。
プ ロ ジ ェ ク ト プ ロ パテ ィ は、 RTL プ ロ ジ ェ ク ト タ イ プに適合する よ う 変更 さ れます。
重要 : RTL プ ロ ジ ェ ク ト に変換 し た後、 I/O ピ ン プ ラ ン ニ ン グ プ ロ ジ ェ ク ト に戻す こ と はで き ません。
プ ロ ジ ェ ク ト 変換する には、 次の手順に従い ます。
1.
[File] → [Migrate to RTL] を ク リ ッ ク し ます。
注記 : ま たは、 Flow Navigator で [Migrate to RTL] を ク リ ッ ク し ます。
2.
[Migrate to RTL] ダ イ ア ロ グ ボ ッ ク ス (図 3-22) で次のオプシ ョ ン を指定 し 、 [OK] を ク リ ッ ク し ます。
°
[Top RTL file] : デザ イ ンの最上位モジ ュ ールを作成す る ための Verilog (.v) ま たは VHDL (.vhd) フ ァ イ ルを指
定 し ます。 こ の HDL フ ァ イ ルには、 モジ ュ ール定義、 ポー ト 定義、 方向、 バ ス ピ ンの幅が含まれます。
°
[Netlist format] : 最上位モジ ュ ールの フ ォーマ ッ ト を [Verilog] ま たは [VHDL] か ら 選択 し ます。
°
[Write diff buffers] : 最上位モジ ュ ール定義の一部 と し て差動ペア バ ッ フ ァ ーを記述 し ます。 こ れに よ り 、 I/O
ピ ン プ ラ ン ニ ン グ プ ロ ジ ェ ク ト で定義 し た差動ペアがすべて保持 さ れます。
X-Ref Target - Figure 3-22
図 3-22 : [Migrate to RTL] ダ イ ア ログ ボ ッ ク ス
I/O ピ ン プ ラ ン ニ ン グ プ ロ ジ ェ ク ト を RTL プ ロ ジ ェ ク ト に変換 し た ら 、 プ ロ ジ ェ ク ト に ソ ー ス を追加 し 、 デザ イ ン
で作業を開始で き ます。 詳細は、 『Vivado Design Suite ユーザー ガ イ ド : シ ス テ ム レベル デザ イ ン入力』 (UG895) [参
照 3] の 「 ソ ース フ ァ イ ルの操作」 を参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
43
第 4章
UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン
ニング
概要
UltraScale™ メ モ リ IP は、 FPGA デザ イ ンお よ び AMBA® 仕様の AXI4 ス レーブ イ ン タ ーフ ェ イ ス と サポー ト さ れ る
外部 メ モ リ デバ イ ス の通信用に、 あ ら か じ め設計 さ れた コ ン ト ロ ー ラ ーお よ び物理層 (PHY) を使用す る メ モ リ コ ン
ト ロ ー ラ ーを定義 し ます。 高速 メ モ リ イ ン タ ーフ ェ イ スは、 次に従 う 必要があ り ます。
•
ク ロ ッ キ ン グお よ びス キ ュ ーの要件に基づ く ピ ン配置要件
•
メ モ リ の I/O バン ク 内でのバ イ ト レーンの使用に関する 規則
•
物理的な ピ ン割 り 当ての要件
パフ ォーマ ン ス の面では、 メ モ リ IP の最終的な コ ン フ ィ ギ ュ レーシ ョ ンは I/O 割 り 当てに依存す る ので、 I/O を割 り
当て る ま で IP の イ ンプ リ メ ン テーシ ョ ン を完了す る こ と はで き ません。 そのため、 こ の IP の I/O 割 り 当て と イ ンプ
リ メ ン テーシ ョ ンは、 ほかのほ と ん ど の IP と は異な る方法で処理す る必要があ り ます。 こ の章では、 UltraScale デバ
イ ス メ モ リ IP の I/O プ ラ ン ニ ン グお よ び イ ンプ リ メ ン テーシ ョ ン プ ロ セ ス について説明 し ます。
推奨 : メ モ リ コ ン ト ロ ー ラ ーのポー ト のグループ化お よ び I/O バン ク 割 り 当てに関す る 制限のため、 汎用 I/O 割 り 当
ての前に メ モ リ コ ン ト ロ ー ラ ーの I/O プ ラ ン ニ ン グ を完了 し てお く こ と をお勧め し ます。
ビデオ : 詳細は、 Vivado Design Suite ビデオ チ ュ ー ト リ アル : UltraScale メ モ リ IP の使用を参照 し て く だ さ い。
重要 : こ の章では、 UltraScale デバ イ ス の メ モ リ IP についてのみ説明 し ます。 7 シ リ ーズ デバ イ ス の メ モ リ IP の詳細
は、『Zynq-7000 All Programmable SoC お よ び 7 シ リ ーズ デバ イ ス メ モ リ イ ン ターフ ェ イ ス ソ リ ュ ーシ ョ ン ユーザー
ガ イ ド 』 (UG586) [参照 17] を参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
44
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
UltraScale デバイ ス メ モ リ IP の I/O プ ラ ン ニ ン グ デ
ザイ ン フ ローの変更
Vivado® Design Suite 2015.1 リ リ ース では、UltraScale デバ イ ス メ モ リ IP の I/O 割 り 当てお よ び イ ンプ リ メ ン テーシ ョ
ン プ ロ セ ス に次の変更が加え ら れてい ます。
•
メ モ リ IP の I/O プ ラ ン ニ ン グ をデザ イ ンのほかの部分の I/O プ ラ ン ニ ン グ と 共に Vivado IDE の [I/O Planning] レ
イ ア ウ ト に統合 し 、 ピ ン プ ラ ン ニ ン グ をデザ イ ン RTL に含め る か、 ま たはデザ イ ンの合成後に実行す る こ と が
可能
•
IP の PHY イ ンプ リ メ ン テーシ ョ ンが合成後に opt_design コ マン ド の一部 と し て実行 さ れ る よ う にな り 、ネ ッ
ト リ ス ト ベース の I/O プ ラ ン ニ ン グが可能
•
IP を含む物理ブ ロ ッ ク (Pblock) が opt_design コ マン ド の一部 と し て自動的に実行 さ れ る よ う にな り 、 イ ンプ
リ メ ン ト 済みデザ イ ンのみで表示可能
I/O プ ラ ン ニ ングの統合
以前の リ リ ー ス の Vivado Design Suite では、 IP を カ ス タ マ イ ズす る と き にすべての I/O を割 り 当て る 必要があ り ま し
た。 その結果の制約は、 IP と 共に読み取 り 専用の XDC フ ァ イ ルに保存 さ れてい ま し た。 I/O 割 り 当て を変更する には、
IP を カ ス タ マ イ ズ し 直す必要があ り ま し た。 ま た、 こ れ ら の制約は、 デザ イ ンの残 り の部分の I/O 割 り 当てお よ び検
証時に必ずし も 表示 さ れませんで し た。2015.1 リ リ ース では、 メ モ リ の I/O 割 り 当て をデザ イ ンのほかのポー ト と 共に
Vivado IDE の [I/O Planning] レ イ ア ウ ト で実行で き ます。 メ モ リ IP の コ ン フ ィ ギ ュ レーシ ョ ンには含まれません。
こ の新 し い メ モ リ IP の I/O 割 り 当て方法に よ り 、 次が可能にな り ます。
•
メ モ リ IP を再生成せずに メ モ リ の I/O ポー ト に変更を加え る こ と が可能
•
メ モ リ IP を再生成せずに、 メ モ リ IP で異な る ピ ン配置の異な る デバ イ ス を タ ーゲ ッ ト にする こ と が可能
•
1 つの環境で複数の メ モ リ コ ン ト ロー ラ ーの I/O プ ラ ン ニ ン グ を同時に実行
•
メ モ リ ポー ト の割 り 当て を、 IP の読み取 り 専用フ ァ イ ルではな く 、 デザ イ ンの最上位 XDC 制約フ ァ イ ルに定義
お よ び保存
•
XDC 制約を変更ま たは置 き 換え る こ と に よ り メ モ リ の I/O ポー ト の割 り 当て を変更
PHY のイ ン プ リ メ ン テーシ ョ ン
UltraScale デバ イ ス の メ モ リ IP は、ユーザー デザ イ ンお よ び AMBA 仕様の AXI4 ス レーブ イ ン タ ーフ ェ イ ス と 、DDR3
お よ び DDR4 SDRAM、 QDRIIPLUS SRAM、 RLDRAM3 デバ イ ス の通信用に、 あ ら か じ め設計 さ れた コ ン ト ロ ー ラ ー
お よ び PHY を使用す る メ モ リ コ ン ト ロ ー ラ ーを定義 し ます。 メ モ リ IP は、 ピ ン配置が変更 さ れた と き にザ イ リ ン ク
ス デバ イ ス の物理層 (PHY) イ ン タ ー コ ネ ク ト のみを ア ッ プデー ト すれば よ い よ う に構成 さ れてい ます。 PHY の イ ン
プ リ メ ン テーシ ョ ンは I/O 割 り 当てに依存す る ので、 I/O を配置 し て検証 し た後に実行す る 必要があ り ます。 合成後
に メ モ リ の I/O プ ラ ン ニ ン グ を実行で き る よ う にす る ため、PHY の イ ンプ リ メ ン テーシ ョ ンは イ ンプ リ メ ン テーシ ョ
ンの一部 と し て opt_design コ マン ド で実行 さ れ る よ う にな っ てい ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
45
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
メ モ リ IP の コ ン フ ィ ギ ュ レ ーシ ョ ン
UltraScale デバ イ ス の メ モ リ IP では、 1 つの IP で DDR3 お よ び DDR4 SDRAM、 QDRIIPLUS SRAM、 RLDRAM3 の イ
ン タ ーフ ェ イ ス を コ ン フ ィ ギ ュ レーシ ョ ンで き ます。 [Customize IP] ダ イ ア ロ グ ボ ッ ク ス にはデバ ッ グ を含む基本お
よ びア ド バン ス コ ン フ ィ ギ ュ レーシ ョ ン オプシ ョ ン が含 まれてい ます。 メ モ リ の I/O 割 り 当てはデザ イ ンのほかの
部分の I/O 割 り 当て と 一緒に実行す る よ う に統合 さ れてい る ので、IP の コ ン フ ィ ギ ュ レーシ ョ ン プ ロ セ スはほかのザ
イ リ ン ク ス IP と 一貫 し た も にな っ てい ま す。 IP の コ ン フ ィ ギ ュ レ ーシ ョ ンお よ び管理プ ロ セ ス の詳細は、 『Vivado
Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 5] の 「MIG (Memory Interface Generator) のカ ス タ マ
イ ズの作成」 を参照 し て く だ さ い。
Vivado ツールで メ モ リ IP を コ ン フ ィ ギ ュ レーシ ョ ンす る には、 次の手順に従い ます。
1.
Vivado IP カ タ ロ グ を開 き 、 [Memories & Storage Elements] → [Memory Interface Generators] カ テ ゴ リ を展開表示 し
ます (図 4-1)。
X-Ref Target - Figure 4-1
図 4-1 : IP カ タ ログの [Memory Interface Generators] カ テ ゴ リ
2.
[Memory Interface Generator (MIG)] を ダブル ク リ ッ ク し て [Customize IP] ダ イ ア ロ グ ボ ッ ク ス (図 4-2) を表示 し
ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
46
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
X-Ref Target - Figure 4-2
図 4-2 : [Customize IP] ダ イ ア ログ ボ ッ ク ス
注記 : [Customize IP] ダ イ ア ロ グ ボ ッ ク ス に [I/O Planning] タ ブはま だあ り ますが、 メ モ リ IP の I/O プ ラ ン ニ ン グ
が統合 さ れてい る こ と が説明 さ れてい ます (図 4-3)。
X-Ref Target - Figure 4-3
図 4-3 : メ モ リ の I/O プ ラ ン ニ ングが統合 さ れた こ と を説明する [I/O Planning] タ ブ
メ モ リ IP の コ ン フ ィ ギ ュ レ ーシ ョ ン の詳細は、 『LogiCORE IP UltraScale アーキ テ ク チ ャ ベー ス FPGA メ モ リ イ ン
タ ーフ ェ イ ス ソ リ ュ ーシ ョ ン製品ガ イ ド 』 (PG150) [参照 18] を参照 し て く だ さ い。
注記 : Vivado Design Suite では、1 つのデザ イ ンで複数の UltraScale デバ イ ス メ モ リ コ ン ト ロ ー ラ ーを使用す る こ と が
サポー ト さ れてい ます。 それぞれを個別に定義する 必要があ り ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
47
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
IP 出力 フ ァ イルの生成
メ モ リ IP を コ ン フ ィ ギ ュ レーシ ョ ン し た ら 、 イ ンプ リ メ ン テーシ ョ ンお よ びサー ド パーテ ィ ツールで使用す る 出力
フ ァ イ ルを生成する 必要があ り ます。 出力フ ァ イ ルを生成す る と 、 IP レベルの XDC 制約フ ァ イ ルが IP デ ィ レ ク ト リ
に作成 さ れます。 XDC フ ァ イ ルには、 IOSTANDARD、 OUTPUT_IMPEDANCE、 DRIVE、 SLEW な ど の I/O 物理制約
が含まれ ます。 こ れ ら の制約は IP の コ ン フ ィ ギ ュ レーシ ョ ン設定か ら 抽出 さ れ、 I/O プ ラ ン ニ ン グに使用 さ れ ます。
制約は、 [I/O Planning] レ イ ア ウ ト に表示 さ れます。
出力フ ァ イ ル生成の詳細は、『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 5] の 「出力フ ァ
イ ルの生成」 を参照 し て く だ さ い。
Vivado IDE での UltraScale デバイ ス メ モ リ IP の I/O プ
ラ ンニング
デザ イ ンに UltraScale デバ イ ス メ モ リ IP が含まれてい る 場合、 Vivado IDE に次の機能が含まれます。
•
[I/O Ports] ウ ィ ン ド ウ で各 メ モ リ IP の I/O ポー ト を ポー ト イ ン タ ーフ ェ イ ス にグループ化 し 、 グループご と に選
択お よ び変更可能
•
メ モ リ IP に関連する すべてのポー ト に対 し 、ド ラ ッ グ ア ン ド ド ロ ッ プ、ポー ト の ス ワ ッ プ、グ ラ フ ィ カル ビ ュ ー
でのポー ト の手動移動な ど、 イ ン タ ラ ク テ ィ ブ ポー ト 配置機能を禁止
•
メ モ リ I/O ピ ン グループを I/O バン ク お よ びバ イ ト レーンに自動ま たは手動割 り 当て可能な メ モ リ バン ク /バ イ
ト プ ラ ンナーを使用可能
イ ン タ ラ ク テ ィ ブ I/O プ ラ ン ニ ン グは、Vivado IDE でエ ラ ボ レー ト 済み RTL デザ イ ン ま たは合成済みデザ イ ン を開い
て実行で き ます。 エ ラ ボ レー ト 済みデザ イ ンお よ び合成済みデザ イ ンの両方で同 じ 基本的なプ ロ セ スお よ び コ マン ド
を使用で き ますが、 合成済みデザ イ ンでの方が よ り 詳細な DRC が実行 さ れます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
48
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
エ ラ ボレー ト 済みデザイ ン での UltraScale デバイ ス メ モ リ IP の
I/O プ ラ ン ニ ング
メ モ リ の I/O プ ラ ン ニ ン グにエ ラ ボ レー ト 済みデザ イ ン を使用す る 場合、 デザ イ ン を開 く 前に、 次の手順に従っ て適
切なエ ラ ボ レー ト オプシ ョ ン を設定す る 必要があ り ます。
1.
Vivado IDE で [Flow] → [Elaboration Settings] を ク リ ッ ク し ます。
2.
[Project Settings] ダ イ ア ロ グ ボ ッ ク ス の [Elaboration] ページで、 [Netlist model] お よ び [Load constraints] オプシ ョ
ンがオンにな っ てい る こ と を確認 し ます (図 4-4)。
[Netlist model] を オンに し てネ ッ ト リ ス ト モデルが読み込まれ る よ う にする と 、 IOSTANDARD や
OUTPUT_IMPEDANCE な ど の I/O プ ロ パテ ィ を選択 し て合成 さ れた メ モ リ IP を、 エ ラ ボ レー ト 済みデザ イ ンで
読み込む こ と がで き ます。 [Blackbox model] を オンに し た場合、 エ ラ ボ レー ト 済みデザ イ ンで メ モ リ IP の I/O プ
ラ ン ニ ン グ を実行する こ と はで き ません。
X-Ref Target - Figure 4-4
図 4-4 : エ ラ ボ レーシ ョ ン オプ シ ョ ンの設定
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
49
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
メ モ リ バン ク/バイ ト プ ラ ン ナーの使用
[I/O Planning] レ イ ア ウ ト には、 [I/O Ports] お よ び [Package Pins] ウ ィ ン ド ウ が含まれます。 デザ イ ンに UltraScale デバ
イ ス メ モ リ IP が含ま れ る 場合、 こ れ ら 両方の ウ ィ ン ド ウ のバナーに メ ッ セージ と メ モ リ バン ク /バ イ ト プ ラ ンナー
を起動する ボ タ ンが表示 さ れます (図 4-5)。
X-Ref Target - Figure 4-5
図 4-5 : メ モ リ バン ク /バイ ト プ ラ ンナーの起動
注記 : ま たは、[Tools] → [I/O Planning] → [Memory Byte Planner] を ク リ ッ ク し て メ モ リ バン ク /バ イ ト プ ラ ンナーを開
く こ と も で き ます。
メ モ リ バン ク /バ イ ト プ ラ ンナー (図 4-6) を使用す る と 、 メ モ リ イ ン タ ーフ ェ イ ス信号グループ を I/O バン ク 内の特
定のバ イ ト グループに自動ま たは手動で割 り 当て る こ と がで き ます。
X-Ref Target - Figure 4-6
図 4-6 : メ モ リ バン ク /バイ ト プ ラ ンナー
注記 : メ モ リ IPか ら 直接生成 さ れたサ ンプル デザ イ ン を使用す る と 、サ ンプル デザ イ ンの XDC フ ァ イ ルにデフ ォ ル
ト の I/O 割 り 当てが含まれ、 メ モ リ バン ク /バ イ ト プ ラ ンナーに表示 さ れます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
50
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
メ モ リ バン ク /バ イ ト プ ラ ンナーには、 次の機能が含まれます。
•
展開/非展開可能なデバ イ ス リ ソ ース ツ リ ー
選択 し たデバ イ ス に よ っ て、 SLR (Super Logic Region)、 I/O 列お よ びバン ク 、 バ イ ト グループな ど のデバ イ ス リ
ソ ース が、 展開/非展開可能な ツ リ ー形式で表示 さ れます。 図 4-7 に示す よ う に、 デバ イ ス の特定のエ リ アのみを
表示で き ます。 メ モ リ イ ン タ ーフ ェ イ スは隣接す る I/O バン ク に割 り 当て る 必要があ る ので、 リ ソ ースはデバ イ
ス に配置 さ れてい る 順に表示 さ れます。
X-Ref Target - Figure 4-7
図 4-7 : デバイ ス リ ソ ース ツ リ ー
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
51
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
•
ほかの ウ ィ ン ド ウ と の連動選択
I/O バン ク お よ びバ イ ト グループ を選択す る と 、 そのグループは [Package] お よ び [Device] ウ ィ ン ド ウ で も ハ イ
ラ イ ト さ れ、 リ ソ ース を特定する のに役立ち ます (図 4-8)。
X-Ref Target - Figure 4-8
図 4-8 : バン ク およびバイ ト グループの連動選択
•
DRC 情報
メ モ リ バ ン ク /バ イ ト プ ラ ン ナーの上部に DRC ス テー タ ス メ ッ セージが表示 さ れ、 リ ン ク を ク リ ッ ク す る と
DRC 違反に関す る 情報が表示 さ れます (図 4-9)。
X-Ref Target - Figure 4-9
図 4-9 : DRC 違反の情報
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
52
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
•
信号グループの情報
[Show Signal Group]
を ク リ ッ ク する と 、 [Signal Groups] ダ イ ア ロ グ ボ ッ ク ス (図 4-10) に各 メ モ リ IP の信号
グループが表示 さ れます。
X-Ref Target - Figure 4-10
図 4-10 : [Signal Groups] ダ イ ア ログ ボ ッ ク ス
信号グループの手動割 り 当て
信号グループを手動でバ イ ト レーンに割 り 当て る には、 次の手順に従い ます。
1.
バン ク の [Mem Byte Group] 列を ク リ ッ ク し ます (図 4-11)。
2.
ド ロ ッ プダ ウ ン リ ス ト か ら 割 り 当て る 信号グループ を選択 し ます。
割 り 当て る と 、 DRC が実行 さ れます。 DRC 違反は赤で表示 さ れます。 [more info] リ ン ク を ク リ ッ ク す る と 詳細が表
示 さ れます。 Vivado IDE にデザ イ ンの各 メ モ リ IP の信号グループが表示 さ れ、 複数の メ モ リ コ ン ト ロ ー ラ ーの I/O
割 り 当て を同時に実行で き ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
53
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
X-Ref Target - Figure 4-11
図 4-11 : 信号グループのバイ ト レーンへの手動割 り 当て
信号グループの自動割 り 当て
メ モ リ コ ン ト ロ ー ラ ーの信号グループ を、 個別に ま たはすべて自動配置で き ます。 各 メ モ リ コ ン ト ロ ー ラ ーに対 し
て、 1 つの I/O バン ク ま たは I/O バン ク のグループを タ ーゲ ッ ト にで き ます。 信号グループ を自動的に割 り 当て る に
は、 次の手順に従い ます。
1.
[Auto Assign Controllers] ボ タ ン
2.
[Auto-place All Controllers] ま たは [Auto-place <controller name>] を ク リ ッ ク し ます (図 4-12)。
を ク リ ッ ク し ます。
X-Ref Target - Figure 4-12
図 4-12 : メ モ リ コ ン ト ロー ラ ーの自動配置
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
54
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
3.
[Select Banks for Auto-Place] ダ イ ア ロ グ ボ ッ ク ス (図 4-13) で、 次のいずれかのオプシ ョ ン をオンに し ます。
°
[System Selected] : Vivado ツールに よ り メ モ リ コ ン ト ロ ー ラ ーに最適な配置が選択 さ れます。
°
[User Selected] : ユーザーが メ モ リ コ ン ト ロ ー ラ ーの タ ーゲ ッ ト と な る バン ク を選択 し ます。
注記 : メ モ リ コ ン ト ロ ー ラ ーを配置す る のに十分な I/O バン ク を選択 し ない と 、 警告 メ ッ セージが表示 さ れ
ます。
X-Ref Target - Figure 4-13
図 4-13 : 自動配置のための I/O バン クの選択
自動配置が完了する と 、 確認ダ イ ア ロ グ ボ ッ ク ス に配置 さ れたポー ト 数が表示 さ れます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
55
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
メ モ リ 以外のポー ト が割 り 当て ら れている こ と を示す警告 メ ッ
セージ
メ モ リ バン ク /バ イ ト プ ラ ンナーでは、 既に メ モ リ 以外の I/O ポー ト が割 り 当て ら れてい る I/O バン ク に信号グルー
プを割 り 当て る こ と は禁止 さ れます。 メ モ リ 以外の I/O ポー ト に信号グループを割 り 当て よ う と す る と 、 感嘆符を含
む黄色のア イ コ ンが表示 さ れ、 マ ウ ス を置 く と 、 メ モ リ 以外のポー ト がバ イ ト グループに割 り 当て ら れてい る こ と を
示すツール ヒ ン ト が表示 さ れます (図 4-14)。
X-Ref Target - Figure 4-14
図 4-14 : メ モ リ 以外のポー ト が割 り 当て ら れている こ と を示す警告 メ ッ セージ
ポー ト の配置を解除する と 、 こ の問題を解決で き ます。 [I/O Ports] ウ ィ ン ド ウ でポー ト を右 ク リ ッ ク し 、 [Unplace] を
ク リ ッ ク し ます (図 4-15)。
X-Ref Target - Figure 4-15
図 4-15 : メ モ リ 以外のポー ト の配置解除
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
56
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
信号グループおよび コ ン ト ロー ラ ーの配置解除
メ モ リ コ ン ト ロ ー ラ ーの信号グループ を、 個別に ま たはすべて を一度に配置解除で き ます。信号グループの配置を解
除する には、 次の手順に従い ます。
1.
[Unplace Ports for Memory Controllers] ボ タ ン
2.
[Unplace All Controllers] ま たは [Unplace <controller name>] を ク リ ッ ク し ます (図 4-16)。
を ク リ ッ ク し ます。
X-Ref Target - Figure 4-16
図 4-16 : メ モ リ コ ン ト ロー ラ ーの配置解除
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
57
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
メ モ リ I/O ポー ト の変更
[I/O Ports] ま たは [Package Pins] ウ ィ ン ド ウ を使用 し て、 ピ ンの ス ワ ッ プな ど、 メ モ リ I/O ポー ト を手動で変更で き ま
す。 ど ち ら の ウ ィ ン ド ウ で も デー タ の表示を変更 し て、 情報を見やす く で き ます。 た と えば、 表を展開ま たは非展開
し た り 、 フ ィ ル ター処理 し た り 、 列を並べ替え た り 移動 し た り で き ます。 詳細は、 『Vivado Design Suite ユーザー ガ イ
ド : Vivado IDE の使用』 (UG893) [参照 13] の 「デー タ 表ウ ィ ン ド ウ の使用」 を参照 し て く だ さ い。
重要 : 変更を加え た と き に DRC は実行 さ れないので、 メ モ リ コ ン ト ロ ー ラ ーに無効な ピ ン割 り 当て を実行 し て し ま
う 可能性があ り ます。 I/O ポー ト ま たはパ ッ ケージ ピ ン割 り 当て を実行 し た後、 第 6 章の 「DRC の実行」 で説明す る
よ う に DRC を実行 し て く だ さ い。
[I/O Ports] ウ ィ ン ド ウでのポー ト の変更
[I/O Ports] ウ ィ ン ド ウ でポー ト 割 り 当て を変更する には、 [Site] 列で次のいずれかを実行 し ます (図 4-17)。
•
ド ロ ッ プダ ウ ン リ ス ト か ら ポー ト を選択 し ます。
•
ポー ト 名を入力 し ます。
X-Ref Target - Figure 4-17
図 4-17 : [I/O Ports] ウ ィ ン ド ウでのポー ト の変更
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
58
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
[Package Pins] ウ ィ ン ド ウでのポー ト の変更
[Package Pins] ウ ィ ン ド ウ でポー ト 割 り 当て を変更す る には、 [Ports] 列で次のいずれか を実行 し ます (図 4-18)。
•
ド ロ ッ プダ ウ ン リ ス ト か ら 信号を選択 し ます。
•
信号名を入力 し ます。
X-Ref Target - Figure 4-18
図 4-18 : [Package Pins] ウ ィ ン ド ウでのポー ト の変更
メ モ リ DRC の実行
ピ ン割 り 当て を実行ま たは変更 し た ら 、 第 6 章の 「DRC の実行」 に説明 さ れてい る よ う に、 [Report DRC] コ マン ド を
使用 し てエ ラ ボ レー ト 済みデザ イ ン ま たは合成済みデザ イ ンに対 し て default DRC ルール デ ッ ク を実行す る 必要があ
り ます。
注記 : 合成済みデザ イ ンではネ ッ ト リ ス ト が完成 し てい る ので、 よ り 多 く のデザ イ ン ルールが実行 さ れます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
59
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
PHY のイ ン プ リ メ ン テーシ ョ ン
各 メ モ リ コ ン ト ロ ー ラ ーに対 し ては、 イ ンプ リ メ ン テーシ ョ ンで opt_design コ マン ド の フ ェ ーズ 1 が実行 さ れて
い る と き に、 物理層 (PHY) が合成 さ れてネ ッ ト リ ス ト に組み込まれます (図 4-19)。
X-Ref Target - Figure 4-19
図 4-19 : opt_design コ マ ン ド 実行中の PHY のイ ン プ リ メ ン テーシ ョ ン
PHY を イ ン プ リ メ ン ト する Tcl コ マ ン ド 例
opt_design の一部 と し てではな く 合成済みデザ イ ンで PHY を イ ンプ リ メ ン ト す る には、 次を入力 し ます。
implement_mig_cores
こ の コ マ ン ド を使用す る と 、 デザ イ ン全体が イ ン プ リ メ ン ト さ れずに、 合成済みネ ッ ト リ ス ト で メ モ リ コ ン ト ロ ー
ラ ーが イ ンプ リ メ ン ト さ れます。詳細は、『Vivado Design Suite Tcl コ マン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835) [参照 8] の
「implement_mig_cores」 を参照 し て く だ さ い。
注意 : 開いてい る デザ イ ン で、 implement_mig_cores コ マ ン ド を複数回実行 し ないで く だ さ い。 デザ イ ン を閉 じ
て開き 直 し てか ら 実行 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
60
第 4 章 : UltraScale デバイ スの メ モ リ IP の I/O プ ラ ン ニ ング
デザイ ン間での I/O ポー ト 割 り 当ての コ ピー
IP レベルの XDC フ ァ イ ルを作成す る と 、 UltraScale デバ イ ス メ モ リ IP の I/O 制約をデザ イ ン間で コ ピーで き ます。
こ れは、 次の場合に有益です。
•
IP サンプル デザ イ ンで メ モ リ I/O 割 り 当て を実行 し 、 その割 り 当て を ユーザー デザ イ ンに コ ピーする 場合
•
デザ イ ンか ら メ モ リ IP お よ び関連の I/O 割 り 当て を含むサンプル デザ イ ン を作成する 場合
注記 : 最上位ポー ト 名はデザ イ ンに よ っ て異な る ので、制約を コ ピーする には IP レベルの XDC フ ァ イ ルが必要です。
IP レベルの XDC フ ァ イ ルを作成 し て メ モ リ IP の I/O 制約を コ ピーす る には、 次の手順に従い ます。
1.
I/O 割 り 当ての コ ピー元 と のな る エ ラ ボ レー ト 済みデザ イ ン ま たは合成済みデザ イ ン を開 き ます。
2.
[Tcl Console] ウ ィ ン ド ウ で次の コ マン ド を入力 し て、 メ モ リ IP の I/O 制約を XDC フ ァ イ ルに記述 し ます。
write_xdc -cell <memory_ip_instance_name> -file <output file name>
3.
I/O 割 り 当ての コ ピー先 と のな る エ ラ ボ レー ト 済みデザ イ ン ま たは合成済みデザ イ ン を開 き ます。
4.
[Tcl Console] ウ ィ ン ド ウ で次の コ マン ド を入力 し て、 メ モ リ IP の I/O 制約の XDC フ ァ イ ルを読み込みます。
read_xdc -cell <memory_ip_instance_name> -file <output file name>
5.
[File] → [Save Constraints] を ク リ ッ ク し、I/O 制約を最上位ポー ト に解釈 し 、ターゲ ッ ト 制約フ ァ イ ルに保存 し ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
61
第 5章
ク ロ ッ ク プ ラ ン ニ ング
概要
ク ロ ッ ク プ ラ ン ニ ン グでは、 ザ イ リ ン ク ス デバ イ ス上の さ ま ざ ま な ク ロ ッ ク リ ソ ース を使用 し て ク ロ ッ ク をデバ イ
ス 全体に分配 し ま す。 ザ イ リ ン ク ス デバ イ ス は、 ク ロ ッ ク 領域の列 と 行に分割 さ れてい ま す。 ク ロ ッ ク 領域には、
CLB、 DSP ス ラ イ ス、 ブ ロ ッ ク RAM、 イ ン タ ー コ ネ ク ト 、 関連す る ク ロ ッ ク リ ソ ース が含まれます。 ク ロ ッ ク 領域
のサ イ ズ と 内容は、 デバ イ ス の タ イ プに よ っ て異な り ま す。 UltraScale™ デバ イ ス では、 ク ロ ッ ク 領域には 60 個の
CLB、 24 個の DSP ス ラ イ ス、 12 個のブ ロ ッ ク RAM が含ま れ、 中央に水平 ク ロ ッ ク スパ イ ン (HCS) があ り ます。 7
シ リ ーズ デバ イ ス では、 ク ロ ッ ク 領域には 50 個の CLB お よ び 50 個の I/O を含む I/O バン ク が 1 つ含まれ、 中央に
水平 ク ロ ッ ク 行 (HROW) があ り ます。
シ ス テ ム ク ロ ッ ク (ボー ド ク ロ ッ ク ) がプ ラ イ マ リ ク ロ ッ ク であ り 、 入力ポー ト ま たはギガ ビ ッ ト ト ラ ン シーバー
ピ ン を介 し てデザ イ ンに入力 さ れます。 各 I/O バン ク には、 シ ス テ ム ク ロ ッ ク をデバ イ ス に取 り 込み、 ク ロ ッ ク 配線
リ ソ -ス に供給する ク ロ ッ ク 兼用入力ピ ンがあ り ます。 ク ロ ッ ク 兼用入力ピ ンは、専用 ク ロ ッ ク バ ッ フ ァ ー と 組み合
わせて、 シ ス テ ム ク ロ ッ ク を次の リ ソ ース に供給 し ます。
•
グ ロ ーバル ク ロ ッ ク ラ イ ン
•
同 じ I/O バン ク お よ び隣接す る I/O バン ク 内の I/O ク ロ ッ ク ラ イ ン
•
同 じ ク ロ ッ ク 領域お よ び縦方向に隣接する ク ロ ッ ク 領域内の リ ージ ョ ナル ク ロ ッ ク
•
ク ロ ッ ク マネージ メ ン ト タ イ ル (CMT)
注記 : プ ラ イ マ リ ク ロ ッ ク を定義す る には、create_clock Tcl コ マン ド を使用 し ます。詳細は、『Vivado Design Suite
Tcl コ マ ン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835) [参照 8] の 「create_clock」 を参照 し て く だ さ い。
合成済みま たは イ ン プ リ メ ン ト 済みデザ イ ン では、 BUFGCTRL、 MMCM、 BUFR、 IDELAYCTRL な ど のグ ロ ーバル
お よ び リ ージ ョ ナル ク ロ ッ ク 関連の ロ ジ ッ ク を [Clock Resources] ウ ィ ン ド ウ を使用 し て手動で配置で き ます。ク ロ ッ
ク ロ ジ ッ ク は、 [Device] ウ ィ ン ド ウ で も 手動で配置で き ます。 [Device] ウ ィ ン ド ウ には、 デバ イ ス特有の リ ソ ース に
対 し て適切な ロ ジ ッ ク サ イ ト が表示 さ れます。 ク ロ ッ ク プ ラ ン ニ ン グの詳細は、 該当する デバ イ ス の ク ロ ッ キ ン グ
リ ソ ース ユーザー ガ イ ド [参照 19] を参照 し て く だ さ い。
推奨 : ク ロ ッ ク リ ソ ースはピ ン配置を選択す る 前に選択 し てお く こ と をお勧め し ます。 こ れは、 ク ロ ッ ク の選択に よ
り 、 特定の ピ ン配置が決定 し 、 その ロ ジ ッ ク の配置を指定で き る か ら です。 ク ロ ッ ク を適切に選択す る こ と に よ り 、
優れた結果を得る こ と がで き ます。
ヒ ン ト : Vivado® ツールでは、 ク ロ ッ ク プ ラ ン ニ ン グは イ ンプ リ メ ン テーシ ョ ン中に自動的に処理 さ れます。その後、
イ ン タ ラ ク テ ィ ブ ク ロ ッ ク プ ラ ン ニ ン グ を使用 し て、 手動で ク ロ ッ キ ン グの問題に対処で き ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
62
第 5 章 : ク ロ ッ ク プ ラ ン ニ ング
ロ ジ ッ ク セルの検索
配置する ロ ジ ッ ク セルを検索す る には、 次の手順に従い ます。
1.
[Edit] → [Find] を ク リ ッ ク し ます。
2.
[Find] ダ イ ア ロ グ ボ ッ ク ス で、 [Find] フ ィ ール ド に [Cells] を選択 し 、 セルの検索条件を定義 し ます。
3.
[Find Results] ウ ィ ン ド ウ か ら ロ ジ ッ ク セルを [Clock Resources] ま たは [Device] ウ ィ ン ド ウ に ド ラ ッ グ し 、適切な
デバ イ ス リ ソ ース に割 り 当て ます。
注記 : 詳細は、 『Vivado Design Suite ユーザー ガ イ ド : Vivado IDE の使用』 (UG893) [参照 13] の 「オブジ ェ ク ト の検索」
を参照 し て く だ さ い。
ヒ ン ト : グ ロ ーバル ク ロ ッ ク バ ッ フ ァ ー (BUFGCTRL) な ど、 ロ ジ ッ ク セルを配置す る デバ イ ス上の物理 リ ソ ース も
検索で き ます。 [Find] フ ィ ール ド で [Sites] を選択 し 、 検索条件を定義 し ます。 [Find Results] ウ ィ ン ド ウ の検索結果を
選択する と 、 [Clock Resources] ま たは [Device] ウ ィ ン ド ウ でデバ イ ス リ ソ ース がハ イ ラ イ ト さ れます。
[Clock Resources] ウ ィ ン ド ウの使用
7 シ リ ーズ デバ イ ス でのみ、 [Clock Resources] ウ ィ ン ド ウ に BUFR、 BUFIO、 BUFG、 MMCM、 GT な ど の リ ージ ョ ナ
ルお よ びグ ロ ーバル ク ロ ッ ク リ ソ ース間の関係が表示 さ れます。[Clock Resources] ウ ィ ン ド ウ に表示 さ れ る デバ イ ス
リ ソ ース は簡略化 さ れてい ますが、 こ れ ら の リ ソ ース間の相対的な位置関係は正 し く 保持 さ れてい ます。
推奨 : Vivado IP カ タ ロ グの Clocking Wizard を使用 し て、混合モー ド ク ロ ッ ク マネージ ャ ー (MMCM) ま たは位相 ロ ッ
ク ループ (PLL) モ ジ ュ ール を 生成 し て ク ロ ッ ク 接続 を 定義す る こ と を お勧め し ま す。 詳細は、 『LogiCORE™ IP
Clocking Wizard 製品ガ イ ド 』 (PG065) [参照 4] を参照 し て く だ さ い。
注記 : [Device] ウ ィ ン ド ウ に表示 さ れ る FPGA の詳細のほ と んどは、 [Clock Resources] ウ ィ ン ド ウ には表示 さ れません。
図 5-1 に、 Kintex®-7 K70T デバ イ ス の [Clock Resources] ウ ィ ン ド ウ を示 し ます。
•
デバ イ ス には 8 つの ク ロ ッ ク 領域が 4x2 の行列で配置 さ れ、 デバ イ ス の左下 X0Y0 か ら 右上 X1Y3 に向かっ て番
号が付け ら れてい ます。
•
各 ク ロ ッ ク 領域には、 CCIO ( ク ロ ッ ク 兼用 I/O)、 BUFIO、 BUFR を含む I/O バン ク も あ り 、 こ れ ら も [Clock
Resources] ウ ィ ン ド ウ に表示 さ れます。
•
デバ イ ス は、 4 つの ク ロ ッ ク 領域を含む上半分 と 、 4 つの ク ロ ッ ク 領域を含む下半分に分割 さ れてい ます。
•
デバ イ ス のグ ロ ーバル ク ロ ッ ク を制御す る BUFG は、 デバ イ ス の中央列にあ り ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
63
第 5 章 : ク ロ ッ ク プ ラ ン ニ ング
X-Ref Target - Figure 5-1
図 5-1 : [Clock Regions] ウ ィ ン ド ウ
レ ベルの展開/非展開
レベルを展開ま たは非展開に し て必要な情報のみを表示する には、 次を実行 し ます。
•
プ ラ ス記号 (+) お よ びマ イ ナ ス記号 (-) を ク リ ッ ク し て、 ツ リ ーの該当す る 部分を展開ま たは非展開に し ます。
•
ツールバーの [Expand All]
非展開に し ます。
お よ び [Collapse All]
ボ タ ン を ク リ ッ ク し て、 すべての ツ リ ーを展開ま たは
オブ ジ ェ ク ト 選択の連動
ウ ィ ン ド ウ 間でのオブジ ェ ク ト の選択を連動 さ せる には、[Clock Resources] ウ ィ ン ド ウ で次のいずれかを実行 し ます。
•
ク ロ ッ ク 領域ま たは I/O バン ク の名前を ク リ ッ ク し ます。
こ の方法を使用 し て、 デバ イ ス、 パ ッ ケージ、 ま たはネ ッ ト リ ス ト で特定のオブジ ェ ク ト をすばや く 見つけ る こ
と がで き ます。
•
ツールバーの [Automatically scroll to selected object] ボ タ ン
ジ ェ ク ト が表示 さ れ る よ う に し ます。
を ク リ ッ ク し て、別の ウ ィ ン ド ウ で選択 し たオブ
こ の方法を使用 し て、 デバ イ ス の特定 リ ソ ー ス を [Clock Resources] ウ ィ ン ド ウ ですばや く 見つけ る こ と がで き
ます。
ヒ ン ト : こ の機能をオ フ に し て、 ほかの ウ ィ ン ド ウ でオブジ ェ ク ト 選択 さ れ る たびに、 表示 さ れ る リ ソ ース が変わ る
の を避け る こ と がで き ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
64
第 5 章 : ク ロ ッ ク プ ラ ン ニ ング
デザイ ン セルの配置
[Clock Resources] ウ ィ ン ド ウ には [Site] と [Cell] の 2 つの列があ り 、 デバ イ ス リ ソ ース と それに割 り 当て ら れてい る
デザ イ ン セルが示 さ れます。
デザ イ ン セルを配置す る には、 次の手順に従い ます。
1.
[Find Results]、 [Schematic]、 [Netlist]、 ま たは [I/O Ports] ウ ィ ン ド ウ で、 デバ イ ス リ ソ ース に配置す る ロ ジ ッ ク セ
ルを選択 し ます。
2.
セルを [Clock Resources] ウ ィ ン ド ウ の適切なデバ イ ス リ ソ ース の [Cell] 列に ド ラ ッ グ し ます。
[Clock Resources] ウ ィ ン ド ウ にセルを ド ラ ッ グす る と 、 そのセル を 配置で き ないサ イ ト ではカー ソ ルが ス ラ ッ
シ ュ の入っ た円に変わ り 、 配置で き る サ イ ト では長方形にな り ます。
重要 : セルを配置す る 際は、グ ロ ーバルお よ び リ ージ ョ ナル ク ロ ッ ク モジ ュ ールの構造に関す る 規則お よ び制限が適
用 さ れます。 こ れ ら の規則お よ び制限に関する 情報は、 該当する デバ イ ス の ク ロ ッ キ ン グ リ ソ ース ユーザー ガ イ ド
[参照 19] を参照 し て く だ さ い。
[Device] ウ ィ ン ド ウでの ク ロ ッ ク ロ ジ ッ ク の配置
ク ロ ッ ク ロ ジ ッ ク を手動で配置す る には、 次の手順に従い ます。
1.
[Device] ウ ィ ン ド ウ を拡大表示 し 、 ロ ジ ッ ク を配置す る デバ イ ス サ イ ト を表示 し ます。
2.
ツールバーの [Cell Drag & Drop Modes] ボ タ ン を ク リ ッ ク し 、 [Create Site Constraint Mode]
3.
[Find Results]、 [Schematic]、 [Netlist]、 ま たは [I/O Ports] ウ ィ ン ド ウ で配置す る ロ ジ ッ ク セルを選択 し 、 [Device]
ウ ィ ン ド ウ の適切なデバ イ ス リ ソ ース に ド ラ ッ グ し ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
を オンに し ます。
65
第 6章
I/O お よび ク ロ ッ ク プ ラ ン ニ ン グの検証
概要
I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グ を実行 し た後、 デザ イ ン を検証 し てデザ イ ン要件が満た さ れてい る か ど う かを確認
し ます。 Vivado® ツールでは、 DRC を実行 し て違反がないか ど う か をチ ェ ッ ク し 、 SSN 解析を実行 し て ス イ ッ チ ノ
イ ズ レベルを予測で き ます。 I/O お よ び ク ロ ッ ク 割 り 当ての最終的な検証を実行す る には、 デザ イ ン を イ ンプ リ メ ン
ト し て ビ ッ ト ス ト リ ーム を生成する 必要があ り ます。
DRC の実行
DRC の実行は、 ピ ン プ ラ ン ニ ン グで最 も 重要な手順です。 DRC では、 「ルール デ ッ ク 」 と 呼ばれ る デザ イ ン ルール
チ ェ ッ ク のセ ッ ト に対 し て現在のデザ イ ンがチ ェ ッ ク さ れ、エ ラ ーや違反が レ ポー ト さ れます。 こ のセ ク シ ョ ンでは、
I/O ポー ト お よ び ク ロ ッ ク に関す る DRC の実行 と 、 DRC 違反の表示について説明 し ます。
注記 : ア ド バン ス DRC 制御については、 『Vivado Design Suite ユーザー ガ イ ド : Tcl ス ク リ プ ト 機能の使用』 (UG894)
[参照 20] の 「カ ス タ ム デザ イ ン ルール チ ェ ッ ク (DRC) の作成」 を参照 し て く だ さ い。 report_drc お よ び関連の
Tcl コ マ ン ド については、 『Vivado Design Suite Tcl コ マン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835) [参照 8] の 「report_drc」 を
参照 し て く だ さ い。
I/O ポー ト および ク ロ ッ ク ロ ジ ッ ク 関連の DRC の実行
DRC ルールを選択 し て実行す る には、 次の手順に従い ます。
1.
[Tool] → [Report] → [Report DRC] を ク リ ッ ク し ます。
注記 : ま た は、 Flow Navigator の [Report DRC] を ク リ ッ ク す る か、 Tcl コ ン ソ ー ル に 「report_drc -name
<results_name>」 と 入力 し ます。
2.
[Report DRC] ダ イ ア ロ グ ボ ッ ク ス (図 6-1) で次のオプシ ョ ン を設定 し 、 [OK] を ク リ ッ ク し ます。
°
°
°
[Results name] : DRC 結果の名前を指定 し ます。 こ こ で指定 し た名前が [DRC] ウ ィ ン ド ウ の ビ ュ ーに表示 さ
れます。 わか り やすい名前に し てお く と 、 デバ ッ グ中に [DRC] ウ ィ ン ド ウ で特定の run を識別 し やす く な り
ます。 デフ ォル ト では、 入力 し た名前が出力フ ァ イ ル名にな り ます。
[Output file] : DRC 結果を フ ァ イ ルに保存す る 際の フ ァ イ ルの名前を入力で き ます (オプシ ョ ン)。デフ ォ ル ト
以外のパ ス を選択する 場合は、 参照ボ タ ン を使用 し て く だ さ い。
[Rule decks] : デザ イ ンで実行する ルール デ ッ ク を指定 し ます。 ルール デ ッ ク は、 一部のデザ イ ン ルール
チ ェ ッ ク を ま と めた コ レ ク シ ョ ンです。 1 つのデザ イ ン ルール チ ェ ッ ク が複数のルール デ ッ ク に含まれて
い る こ と があ り ます。 た と えば、 同 じ ルールが opt_checks と placer_checks ルール デ ッ ク の両方に含ま れて
い る な ど です。 Vivado ツールは、 合成後や イ ンプ リ メ ン テーシ ョ ン後な ど、 FPGA デザ イ ン フ ロ ーの適切な
段階でルール デ ッ ク を実行 し ます。
-
[bitstream_checks] : ビ ッ ト ス ト リ ーム生成に関する チ ェ ッ ク を実行 し ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
66
第 6 章 : I/O および ク ロ ッ ク プ ラ ン ニ ングの検証
°
-
[default] : ザ イ リ ン ク ス の推奨する チ ェ ッ ク のデフ ォル ト セ ッ ト を実行 し ます。
-
[eco_checks] : ネ ッ ト リ ス ト を変更する エン ジニ ア リ ン グ チ ェ ン ジ オーダー (ECO) が完了 し た後に、 接
続お よ び配置のチ ェ ッ ク を実行 し ます。 た と えば、 connect_net Tcl コ マ ン ド を使用 し てネ ッ ト リ ス
ト を変更 し た場合に、 イ ンプ リ メ ン ト 済みデザ イ ンに対 し て実行 し ます。
-
[methodology_checks] : エ ラ ボ レー ト 済みデザ イ ン を開いた と き に XDC フ ァ イ ル と RTL フ ァ イ ルの
チ ェ ッ ク を実行 し ます。
-
[opt_checks] : ロ ジ ッ ク 最適化に関する チ ェ ッ ク を実行 し ます。
-
[placer_checks] : 配置に関す る チ ェ ッ ク を実行 し ます。
-
[router_checks] : 配線に関す る チ ェ ッ ク を実行 し ます。
-
[timing_checks] : タ イ ミ ン グ制約に関する チ ェ ッ ク を実行 し ます。
[Rules] : ルール デ ッ ク を指定 し た ら 、 必要に応 じ て実行す る ルールを変更 し ます。
ヒ ン ト : 標準のデザ イ ン ルール チ ェ ッ ク のセ ッ ト と は異な る セ ッ ト を実行する 場合は、「カ ス タ ムルール デ ッ ク を定
義する Tcl コ マ ン ド 例」 に説明 さ れてい る よ う に、 create_drc_ruledeck お よ び add_drc_checks Tcl コ マン ド
を使用 し て カ ス タ ム ルール デ ッ ク を作成で き ます。 カ ス タ ム ルール デ ッ ク を作成する と 、 [Report DRC] ダ イ ア ロ グ
ボ ッ ク ス の [Rule Decks] エ リ アに表示 さ れ る よ う にな り ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
67
第 6 章 : I/O および ク ロ ッ ク プ ラ ン ニ ングの検証
X-Ref Target - Figure 6-1
図 6-1 : [Report DRC] ダ イ ア ログ ボ ッ ク ス
DRC を実行する Tcl コ マ ン ド 例
report_drc -ruledeck placer_checks -file C:/Data/DRC_Rpt1.txt
カ ス タ ムルール デ ッ ク を定義する Tcl コ マ ン ド 例
create_drc_ruledeck ruledeck_1
add_drc_checks -ruledeck ruledeck_1 [get_drc_checks {SYNTH-10 SYNTH-9 SYNTH-8
SYNTH-7 SYNTH-6 SYNTH-5 SYNTH-4}]
注記 : create_drc_ruledeck お よ び関連の Tcl コ マン ド については、 『Vivado Design Suite Tcl コ マン ド リ フ ァ レ ン
ス ガ イ ド 』 (UG835) [参照 8] の 「create_drc_ruledeck」 を参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
68
第 6 章 : I/O および ク ロ ッ ク プ ラ ン ニ ングの検証
イ ン タ ラ ク テ ィ ブ DRC のデ ィ ス エーブル/イ ネーブル
Vivado IDE では、 I/O プ ラ ン ニ ン グ中、 ピ ン配置が有効であ る か ど う か を確認す る 基本的なチ ェ ッ ク が実行 さ れます。
最終的な DRC は Vivado イ ンプ リ メ ン テーシ ョ ンでのみ実行可能です。 そのため、 最終的な ピ ン配置が有効か ど う か
確認する には、 イ ンプ リ メ ン テーシ ョ ン ま で実行する 必要があ り ます。
ピ ン配置中は、 イ ン タ ラ ク テ ィ ブな I/O 配置ルーチンに よ り 、 よ く 発生す る エ ラ ーがチ ェ ッ ク さ れます。 こ の機能は、
次のいずれかを実行 し てオン/オフ を切 り 替え る こ と がで き ます。
•
[Device] ま たは [Package] ウ ィ ン ド ウ で、 ツールバーの [Autocheck I/O Placement] ボ タ ン
•
[Tools] → [Options] を ク リ ッ ク し 、 [Vivado Options] ダ イ ア ロ グ ボ ッ ク ス の [General] ページで [Automatically
enforce legal I/O placement] をオン/オ フ に し ます。
を ク リ ッ ク し ます。
こ の自動チ ェ ッ ク 機能を オンにする と 、 問題を引 き 起 こ すピ ンに I/O ポー ト を配置で き な く な り ます。 [Place I/O Ports
Sequentially] を オン し て I/O ポー ト を順次に割 り 当て る 場合、問題のあ る ピ ンに I/O ポー ト を配置 し よ う と す る と 、I/O
ポー ト を配置で き ない理由が ツール ヒ ン ト に表示 さ れます。 イ ン タ ラ ク テ ィ ブ DRC は、 デフ ォ ル ト でオンにな っ て
い ます。
重要 : こ れ ら の DRC の多 く は、 合成済みま たは イ ンプ リ メ ン ト 済みデザ イ ンに対 し てのみ実行 さ れます。
イ ン タ ラ ク テ ィ ブ I/O 配置ルールには、 次の も のが含まれます。
•
•
禁止 :
°
GT に関連す る ノ イ ズの影響を受けやすい ピ ン ま たは ノ イ ズの影響を受けやす く な る 可能性のあ る I/O パ ッ
ケージ ピ ンへの配置
°
I/O 規格に違反す る 配置
確認 :
°
バン ク でサポー ト さ れていない I/O 規格が使用 さ れていない
°
バン ク に互換性のない VCC ポー ト が割 り 当て ら れていない
°
VREF ポー ト が必要なバン ク に使用可能な VREF ピ ンがあ る
°
グ ロ ーバル ク ロ ッ ク お よ び リ ージ ョ ナル ク ロ ッ ク が適切に割 り 当て ら れてい る (ネ ッ ト リ ス ト お よ び XDC
フ ァ イ ルが イ ンポー ト さ れてい る 場合のみ)
°
差動 I/O ポー ト が正 し い極性ピ ンに割 り 当て ら れてい る
°
出力ピ ンが入力のみの ピ ンに配置 さ れていない
推奨 : I/O ポー ト の配置は、 イ ン タ ラ ク テ ィ ブ DRC を オン に し て実行す る こ と をお勧め し ます。 I/O ポー ト お よ び ク
ロ ッ ク 領域の仕様については、 該当する デバ イ ス の資料を参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
69
第 6 章 : I/O および ク ロ ッ ク プ ラ ン ニ ングの検証
DRC エ ラ ーの表示
DRC で違反が検出 さ れた場合、 図 6-2 に示す [DRC] ウ ィ ン ド ウ が表示 さ れ ます。 [DRC] ウ ィ ン ド ウ には、 検出 さ れ
たルール違反が [Report DRC] ダ イ ア ロ グ ボ ッ ク ス で定義 さ れた カ テ ゴ リ 別に表示 さ れます。 ルール違反はその重要
度に よ っ て も 分類 さ れてお り 、 次の よ う に色分け表示 さ れます。
•
ア ド バ イ ザ リ : デザ イ ン プ ロ セ ス に関する 一般的な ス テー タ スお よ びフ ィ ー ド バ ッ ク を示 し ます。
•
警告 : 制約ま たは仕様が意図 し た と お り に適用 さ れていないために、 最適なデザ イ ン結果にな ら ない可能性を示
し ます。
•
ク リ テ ィ カル警告 : ユーザー入力ま たは制約に適用 さ れない も のがあ っ た り 、 適切な方法に従っ ていない も のが
あ る こ と を示 し ます。 こ れ ら の問題は、 確認 し て変更する こ と をお勧め し ます。
注記 : ク リ テ ィ カル警告は、 ビ ッ ト ス ト リ ーム生成時にはエ ラ ーにな り ます。
•
エ ラ ー : デザ イ ン結果が使用で き ない状態にな り 、 ユーザーが対処 し ない と 回避 さ れない問題を示 し ます。 デザ
イ ン フ ロ ーは停止 し ます。
ヒ ン ト : 警告お よ び情報 メ ッ セー ジ を 非表示に し 、 エ ラ ーのみ を 表示す る には、 ツ ールバーの [Hide Warnings and
Informational Messages] ボ タ ン
を ク リ ッ ク し ます。
X-Ref Target - Figure 6-2
図 6-2 : DRC 違反
DRC 違反の並べ替え
DRC 違反を重要度で並べ替え る には、 次の よ う に [Severity] 列の見出 し を ク リ ッ ク し ます。
•
列ヘ ッ ダーを ク リ ッ ク する と 重要度の低い方か ら 並べ ら れます。
•
列ヘ ッ ダーを も う 一度 ク リ ッ ク する と 、 重要度の高い方か ら 並べ ら れます。
注記 : 詳細は、 『Vivado Design Suite ユーザー ガ イ ド : Vivado IDE の使用』 (UG893) [参照 13] を参照 し て く だ さ い。
DRC 違反プ ロパテ ィ の表示
[DRC] ウ ィ ン ド ウ で違反 メ ッ セージ を右 ク リ ッ ク し 、[Violations Properties] を ク リ ッ ク する と 、DRC 違反のプ ロ パテ ィ
が表示 さ れます。 [Violation Properties] ウ ィ ン ド ウ で次の ビ ュ ーを ク リ ッ ク し ます。
•
[General] : DRC ルール違反の タ イ プ、 重要度、 説明な ど、 全体的な情報を示 し ます。
•
[Details] : ルールに違反す る デザ イ ン エ レ メ ン ト に関する 情報を示 し ます。DRC に違反す る デザ イ ン オブジ ェ ク
ト への リ ン ク が含まれ る 場合 も あ り ます。 リ ン ク を ク リ ッ ク す る と 、 そのデザ イ ン オブジ ェ ク ト が [RTL Netlist]
ウ ィ ン ド ウ、 [Device] ウ ィ ン ド ウ、 [Schematic] ウ ィ ン ド ウ 、 お よ び ソ ース RTL フ ァ イ ルで表示 さ れます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
70
第 6 章 : I/O および ク ロ ッ ク プ ラ ン ニ ングの検証
カ ス タ ム DRC の作成
create_drc_check お よ び create_drc_violation な ど の Tcl コ マン ド を使用 し て、 Vivado Design Suite で使用
する カ ス タ ム DRC を作成す る こ と も で き ます。 詳細は、 『Vivado Design Suite ユーザー ガ イ ド : Tcl ス ク リ プ ト 機能の
使用』 (UG894) [参照 20] の 「カ ス タ ム デザ イ ン ルール チ ェ ッ ク (DRC) の作成」 を参照 し て く だ さ い。create_drc_check
お よ び関連の Tcl コ マ ン ド については、 『Vivado Design Suite Tcl コ マ ン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835) [参照 8] の
「create_drc_check」 を参照 し て く だ さ い。
DRC リ ス ト の取得
現在定義 さ れてい る DRC の リ ス ト を取得す る には、Tcl コ マ ン ド の get_drc_checks を使用 し ます。詳細は、『Vivado
Design Suite Tcl コ マ ン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835) [参照 8] の 「get_drc_checks」 お よ び 『Vivado Design Suite ユー
ザー ガ イ ド : Tcl ス ク リ プ ト 機能の使用』 (UG894) [参照 20] を参照 し て く だ さ い。
SSN 解析
Vivado IDE には、 異な る デバ イ ス の I/O に関連す る ス イ ッ チ ノ イ ズ レベルを解析す る 機能があ り ます。 SSN 解析で
は、 同時に切 り 替わ る 出力が I/O バン ク 内のほかの出力ポー ト に与え る 影響を予測 し ます。 I/O バン ク 特定の電気特
性 も 考慮 さ れ、 SSN でのパ ッ ケージの影響が正確に予測 さ れます。
I/O は I/O バン ク にグループ化 さ れ、 各バン ク に固有の電源分配ネ ッ ト ワ ー ク があ り 、 ス イ ッ チン グ ア ク テ ィ ビ テ ィ
に対する 応答は異な り ます。パ ッ ケージに実装 さ れた FPGA 内の電源分配ネ ッ ト ワ ー ク の ノ イ ズに対す る 応答はそれ
ぞれ異な る ため、 デザ イ ンで使用 さ れ る I/O 規格や I/O 数だけでな く 、 デバ イ ス の電源シ ス テ ムの ス イ ッ チン グ ア ク
テ ィ ビ テ ィ に対する 応答 も 理解する こ と が重要です。
ザ イ リ ン ク ス では、 すべてのバン ク を 3 次元抽出お よ びシ ミ ュ レーシ ョ ン を使用 し て特性化 し てい ます。 こ の情報が
SSN 解析で使用 さ れます。SSN 解析では、デバ イ ス の ス イ ッ チン グ プ ロ フ ァ イ ルを使用 し て、 ス イ ッ チン グ ア ク テ ィ
ビ テ ィ に よ る シ ス テ ムの電源ネ ッ ト ワ ー ク への影響 と I/O バン ク 内のほかの出力への影響が予測 さ れます。
注記 : SSN 解析では、 双方向ポー ト の出力を含む出力信号のみが解析 さ れ、 計算では入力信号は無視 さ れます。 I/O バ
ン ク に十分なマージ ンがあれば、 入力お よ び出力の レベルは影響を受け ません。
重要 : 出力 ス イ ッ チが イ ン タ ーフ ェ イ ス ノ イ ズ マージ ンに ど の よ う に影響す る か を予測す る には、 SSN 解析が最 も
正確です。 その計算 と 結果は、 さ ま ざ ま なパ タ ーン を網羅 し てい ます。 こ れ ら の予測値は、 デザ イ ンで発生す る 可能
性のあ る ノ イ ズに関連 し た問題を特定する ための も ので、 最終デザ イ ン を確定す る ための検証方法 と し ては使用 し な
いで く だ さ い。
SSN 解析サポー ト の判断
SSN 解析は、 すべてのデバ イ ス でサポー ト さ れてい る わけではあ り ません。 メ モ リ で開いてい る デザ イ ンの タ ーゲ ッ
ト デバ イ ス で SNN 解析がサポー ト さ れてい る かど う かを判断する には、 次の Tcl コ マ ン ド を使用 し ます。
get_property SSN_REPORT [get_property PART [current_design]]
メ モ リ で開いてい る デザ イ ン の タ ーゲ ッ ト デバ イ ス フ ァ ミ リ で SNN 解析がサポー ト さ れてい る デバ イ ス を リ ス ト
する には、 次の Tcl コ マ ン ド を使用 し ます。
get_parts -filter "FAMILY == [get_property FAMILY [get_property PART \
[current_design]]] && SSN_REPORT"
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
71
第 6 章 : I/O および ク ロ ッ ク プ ラ ン ニ ングの検証
SSN 解析の実行
SSN 解析を実行する には、 次の手順に従い ます。
1.
[Tool] → [Report] → [Report Noise] を ク リ ッ ク し ます。
注記 : ま たは、 Flow Navigator で [Report Noise] を ク リ ッ ク し ます。
2.
[Report Noise] ダ イ ア ロ グ ボ ッ ク ス (図 6-3) で次のオプシ ョ ン を設定 し 、 [OK] を ク リ ッ ク し ます。
°
[Results Name] : [Noise] ウ ィ ン ド ウ に表示す る 解析結果の名前を入力 し ます。
°
[Export to File] : 解析を レ ポー ト フ ァ イ ルにエ ク ス ポー ト し ます。出力フ ァ イ ル名を入力す る か、 参照ボ タ ン
を ク リ ッ ク し て選択 し ます。 出力フ ァ イ ルの フ ォーマ ッ ト を [CSV] ま たは [HTML] に指定 し ます。
°
°
[Phase] : デザ イ ンの ク ロ ッ ク 情報が考慮 さ れ、 よ り 正確な SSN ノ イ ズが レ ポー ト さ れます。 ク ロ ッ ク は、
create_clock お よ び create_generated_clock コ マ ン ド を使用 し て定義 さ れてい る 必要があ り ます。
生成 ク ロ ッ ク の周期、 位相シ フ ト 、 デ ュ ーテ ィ サ イ ク ルは、 SSN 解析に大 き く 影響 し ます。 詳細は、 「SSN
解析への位相情報の追加」 を参照 し て く だ さ い。
[Open in a new tab] : 解析結果を [Noise] ウ ィ ン ド ウ の新 し い ビ ュ ーに表示 し ます。こ のオプシ ョ ン を オ フ にす
る と 、 [Noise] ウ ィ ン ド ウ に現在表示 さ れてい る 結果が新 し い解析結果で上書 き さ れます。
X-Ref Target - Figure 6-3
図 6-3 : [Report Noise] ダ イ ア ログ ボ ッ ク ス
SSN 解析結果の表示
SSN 解析が完了する と 、 [Noise] ウ ィ ン ド ウ (図 6-4) が表示 さ れます。
X-Ref Target - Figure 6-4
図 6-4 : [Noise] ウ ィ ン ド ウ
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
72
第 6 章 : I/O および ク ロ ッ ク プ ラ ン ニ ングの検証
左側のペ イ ンで各ア イ テ ム を ク リ ッ ク し 、 SSN 解析に関す る 情報を確認 し ます。 た と えば [I/O Bank Details] を ク リ ッ
ク する と 、 次の情報が表示 さ れます。
•
[Name] : デバ イ ス で使用可能な I/O バン ク を示 し ます。 各 I/O バン ク にはピ ン ア イ コ ンが表示 さ れ、 バン ク が ど
れ く ら い使用 さ れてい る かが示 さ れます。 チ ェ ッ ク マー ク は問題がない こ と を示 し 、赤い丸は問題があ る こ と を
示 し ます。
•
[Port] : FPGA デザ イ ンのユーザー I/O 名を表示 し ます。
•
[I/O Std]、 [VCCO]、 [Slew]、 [Drive Strength] : ポー ト ま たはバン ク の I/O 規格、 Vcco、 スルー レー ト 、 お よ び駆動
電流を示 し ます。
•
[Off-Chip Termination] : 各 I/O 規格にデフ ォ ル ト 終端があ る 場合は、 それを示 し ます。 [None] ま たはオ フチ ッ プ終
端ス タ イ ルが表示 さ れます。 た と えば [FP_VTT_50] は、 VTT への遠端並列 50Ω 終端を示 し ます。 すべての終
端ス タ イ ルの リ ス ト は、 該当する デバ イ ス の SelectIO リ ソ ース ユーザー ガ イ ド [参照 12] を参照 し て く だ さ い。
LVTTL (2mA、4mA、6mA、お よ び 8mA) では終端はな し と な り 、LVTTL (12mA お よ び 16mA) では VTT への 50Ω
の遠端並列終端 と な り ます。 こ の終端が使用 さ れ る と 、 駆動電流が 12mA 以上の信号の ノ イ ズ マージ ンが、 2mA
~ 8mA よ り も 小 さ く な り ます。 こ の前提は、 7 シ リ ーズ デバ イ ス、 Zynq®-7000 AP SoC、 お よ び UltraScale™ デ
バ イ ス で使用 さ れます。
設定を変更する には、 次のいずれかの方法を使用 し ます。
°
第 3 章の 「CSV フ ァ イ ルの イ ン ポー ト 」 に示す CSV フ ァ イ ルの イ ン ポー ト 機能を使用 し ます。
°
[I/O Ports] ウ ィ ン ド ウ で、 ド ロ ッ プダ ウ ン リ ス ト か ら 選択 し ます。
•
[Remaining Margin %] : バン ク の SSN をすべて考慮 し た後の ノ イ ズ マージ ン値の残 り を示 し ます。
•
[Notes] : I/O バン ク ま たはグループに関す る 情報を示 し ます。
重要 : SSN 結果には SSN 解析が実行 さ れた と き のデザ イ ンの状態が反映 さ れてお り 、ダ イ ナ ミ ッ ク な レ ポー ト ではあ
り ません。
SSN 結果の I/O バン ク プ ロパテ ィ の表示
[Noise] ウ ィ ン ド ウ で I/O バン ク を選択す る と 、 そのバン ク に割 り 当て ら れてい る I/O ポー ト 、 ピ ン、 お よ びグループ
の情報が [I/O Bank Properties] ウ ィ ン ド ウ に表示 さ れます。 [I/O Bank Properties] ウ ィ ン ド ウ には、 次の情報が表示 さ れ
ます。
•
[General] ビ ュ ー : I/O バン ク に割 り 当て ら れてい る ポー ト の数 と タ イ プ
•
[Package Pins] ま たは [I/O Ports] ビ ュ ー : バン ク 内のピ ン ま たはポー ト の詳細情報 (図 6-5)
X-Ref Target - Figure 6-5
図 6-5 : [I/O Bank Properties] ウ ィ ン ド ウの [Package Pins] ビ ュ ー
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
73
第 6 章 : I/O および ク ロ ッ ク プ ラ ン ニ ングの検証
SSN 結果の改善
違反が発生 し た場合に SSN 結果を改善す る には、 次を実行 し ます。
•
違反が発生 し てい る グループに SSN の影響を あ ま り 受けない I/O 規格を使用 し ます。 低い駆動電流に変更、 並列
終端の DCI I/O 規格を変更、ま たは低い ク ラ ス の ド ラ イ バーに変更 (SSTL Class II か ら SSTL Class I に変更す る な
ど) し ます。
•
違反が発生 し てい る ピ ン を複数のバン ク に分散 さ せます。 こ の よ う にする と 、 1 つのバン ク の電源シ ス テ ムで問
題のあ る 出力数を低減で き ます。
•
違反が発生 し てい る グループを複数の同期位相に分散 さ せます。
•
位相情報を追加 し ます。
SSN 解析への位相情報の追加
位相情報を追加する と 、 SSN 解析の精度を高め る こ と がで き ます。 デフ ォル ト では、 SSN 解析ですべての出力ポー ト
が同時に ト グルす る と 想定 さ れます。 こ の想定は ワ ース ト ケース の状況であ り 、 不必要に見積 も り の悪い SSN 解析
レ ポー ト が生成 さ れ る 可能性があ り ます。 デザ イ ンの ク ロ ッ ク 情報が使用可能であれば、 SSN 解析で よ り 正確な SSN
ノ イ ズが レ ポー ト さ れます。
こ の機能を使用する には、 次の Tcl コ マ ン ド を使用 し て SSN 位相解析を イ ネーブルに し ます。
report_ssn -phase
create_clock お よび create_generated_clock コ マ ン ド を使用 し て ク ロ ッ ク 情報を入力 し ます。 こ れ ら の コ マ
ン ド に よ り 、 SSN 解析に次の必要な入力が提供 さ れます。
•
位相グループ
注記 : 1 つの MMCM ま たは PLL に属す る 生成 さ れた ク ロ ッ ク がグループにな り ます。
•
周期
•
デュ ーテ ィ サ イ ク ル
•
位相シ フ ト
注記 : 0 度か ら の絶対位相シ フ ト が含まれます。
詳細は、 『Vivado Design Suite Tcl コ マ ン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835) [参照 8] お よ び 『Vivado Design Suite ユー
ザー ガ イ ド : Tcl ス ク リ プ ト 機能の使用』 (UG894) [参照 20] を参照 し て く だ さ い。
ヒ ン ト : SSN 位相解析をオンにす る と 、 SSN 解析レ ポー ト に [Phase] 列が表示 さ れ る よ う にな り ます。
次の点に注意 し て く だ さ い。
•
マ ス タ ー ク ロ ッ ク が複数あ る と 、 SSN 結果は改善 し ません。 SSN 結果を削減す る ため、 各マ ス タ ー ク ロ ッ ク 内
に複数の位相が必要です。
•
1 つの位相グループ内のポー ト が 1 つであ る と 、 SSN 結果は改善 し ません。 各 ク ロ ッ ク グループ ま たは位相グ
ループに、 ポー ト は少な く と も 2 つ必要です。
•
SSN ノ イ ズ を最小限にす る には、 1 つの ク ロ ッ ク の ク ロ ッ ク 遷移を別の ク ロ ッ ク か ら 700 ピ コ 秒 (ps) シ フ ト し
ます。
•
SSN 結果を改善する には、 1 つの位相グループ内の位相シ フ ト を 200ps よ り 大 き く す る 必要があ り ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
74
第 6 章 : I/O および ク ロ ッ ク プ ラ ン ニ ングの検証
ま た、 次の点に も 注意 し て く だ さ い。
•
高集積デザ イ ンの場合、 位相を含む SSN 解析に数十分かか る こ と があ り ます。
•
180 度のシ フ ト では SSN 結果は改善 さ れません。 ク ロ ッ ク 情報に立ち上が り お よ び立ち下が り 遷移情報は含まれ
てい ますが、 SSN 解析ではそのポー ト の実際の出力 ロ ジ ッ ク は含まれません。 ク ロ ッ ク が Low か ら High に遷移
す る 場合、 ポー ト 出力はいずれかの方向にな り ます。 保守的な SSN レ ポー ト を生成す る ため、 アルゴ リ ズ ム で
は 180 度が位相シ フ ト 0 と 同 じ と 認識 さ れます。 出力ポー ト に関す る 情報がないので、 解析では 180 度シ フ ト の
ポー ト の SSN ノ イ ズが多 く 見積 も ら れます。 実際には、 SSN は 180 度シ フ ト で削減 さ れてい ますが、 アルゴ リ
ズ ムではその削減が認識 さ れません。
•
50% のデュ ーテ ィ サ イ ク ルのみがサポー ト さ れ、 それ以外の ク ロ ッ ク は非同期信号 と 認識 さ れます。
SSN 解析への温度情報の追加 (7 シ リ ーズ デバイ ス)
7 シ リ ーズ デバ イ スお よ び Zynq-7000 AP SoC では、 温度グ レー ド を指定 し て SSN 解析の精度を向上で き ます。 正 し
い温度グ レー ド を指定 し て く だ さ い温度グ レー ド 情報を追加する には、次のいずれかの Tcl コ マ ン ド を使用 し てか ら 、
SSN 解析を実行 し ます。
set_operating_conditions -grade Commercial
set_operating_conditions -grade Industrial
set_operating_conditions -grade Military
set_operating_conditions -grade Q-Grade
set_operating_conditions -grade Extended
注記 : UltraScale デバ イ ス では、 温度グ レー ド はパーツ名に含まれてお り 、 SSN 解析に自動的に組み込まれます。
ヒ ン ト : 動作条件を確認す る には、report_operating_conditions -grade コ マン ド を使用 し ます。温度グ レー
ド をデフ ォル ト に リ セ ッ ト する には、 reset_operating_conditions -grade コ マン ド を使用 し ます。
ま た、 次の点に も 注意 し て く だ さ い。
•
デフ ォル ト では、 温度グ レー ド はプ ロ ジ ェ ク ト で使用 さ れ る デバ イ ス に基づき ます。
•
エ ラ ボ レー ト 済みデザ イ ンで SSN 解析を実行す る 際は、 タ ーゲ ッ ト パーツのデフ ォ ル ト 温度グ レー ド を変更で
き ません。
•
動作条件は、消費電力解析に も 使用 さ れます。消費電力解析に影響す る 動作条件については、『Vivado Design Suite
ユーザー ガ イ ド : 消費電力解析お よ び最適化』 (UG907) [参照 21] の 「Tcl プ ロ ンプ ト か ら の消費電力解析の設定」
を参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
75
第 7章
シ ス テム設計者 と の情報交換
概要
I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グ プ ロ セ ス の一部 と し て、 CSV フ ァ イ ルお よ び IBIS モデルを エ ク ス ポー ト し て、 ザ
イ リ ン ク ス デバ イ ス の ピ ン配置に関す る 情報を PCB ま たはシ ス テ ム設計者に渡す こ と がで き ます。 PCB ま たはデザ
イ ン仕様の変更に よ っ ては、 第 3 章の 「I/O ポー ト の定義 と 設定」 に説明 さ れてい る よ う に ピ ン配置を再 イ ン ポー ト
する 必要があ る 場合があ り ます。I/O お よ び ク ロ ッ ク プ ラ ン ニ ン グ フ ロ ーの手順を完了 し た ら 、CSV フ ァ イ ルお よ び
IBIS モデルを使用 し て、 ピ ン配置をデバ イ ス モデル と 共にシ グナル イ ン テ グ リ テ ィ 解析用に戻す こ と がで き ます。
I/O ピ ン と パ ッ ケージ デー タ のエ ク スポー ト
I/O ピ ンお よ びパ ッ ケージ ピ ンの情報を、 次に目的でエ ク ス ポー ト で き ます。
•
I/O ピ ン情報 : I/O ポー ト リ ス ト を フ ァ イ ルにエ ク ス ポー ト し 、 RTL の コ ー ド 記述や PCB 回路図シ ン ボルの作成
に使用で き ます。
•
パ ッ ケージ ピ ン情報 : エ ラ ボ レー ト 済みデザ イ ン、 合成済みデザ イ ン、 ま たは イ ンプ リ メ ン ト 済みデザ イ ンで作
業 し てい る 場合、 デバ イ ス パ ッ ケージ ピ ンの情報を CSV フ ァ イ ルにエ ク ス ポー ト で き ます。 エ ク ス ポー ト さ れ
た リ ス ト のパ ッ ケージ ピ ン セ ク シ ョ ン を基に、 I/O ポー ト を ス プ レ ッ ド シー ト で定義で き ます。 エ ク ス ポー ト さ
れ る 情報には、 デバ イ ス に含ま れ る すべてのパ ッ ケージ ピ ン、 デザ イ ン特定の I/O ポー ト 割 り 当て と その コ ン
フ ィ ギ ュ レ ーシ ョ ン が含 ま れ ま す。 追加 さ れた列お よ びユーザー定義の値が保持 さ れ、 出力 フ ァ イ ルにエ ク ス
ポー ト さ れ ま す。 エ ク ス ポー ト さ れた CSV フ ァ イ ルの詳細は、 第 3 章の 「I/O ポー ト の定義 と 設定」 お よ び付
録 B 「CSV フ ァ イ ル形式の I/O ポー ト リ ス ト の使用」 を参照 し て く だ さ い。
I/O ポー ト リ ス ト 情報を エ ク ス ポー ト す る には、 次の手順に従い ます。
1.
[File] → [Export] → [Export I/O Ports] を ク リ ッ ク し ます。
2.
[Export I/O Ports] ダ イ ア ロ グ ボ ッ ク ス (図 7-1) で、 生成す る I/O ポー ト の タ イ プ と パ ス を指定 し 、 [OK] を ク リ ッ
ク し ます。
注記 : ポー ト がユーザーに よ り 割 り 当て ら れいて る 固定 さ れた制約のみが XDC フ ァ イ ルに含まれます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
76
第 7 章 : シ ステム設計者 と の情報交換
X-Ref Target - Figure 7-1
図 7-1 : [Export I/O Ports] ダ イ ア ログ ボ ッ ク ス
IBIS モデルの生成
IBIS (Input/Output Buffer Information Specification) は、 デバ イ ス モデ リ ン グ規格で、 デバ イ ス イ ン タ ー コ ネ ク ト の信号
ビヘ イ ビ アーを記述 し た ビヘ イ ビ アー モデルの開発に使用で き ます。 こ れ ら のモデルは、 SPICE (Simulation Program
with Integrated Circuit Emphasis) シ ミ ュ レーシ ョ ンで生成 さ れ る よ う な構造型モデル と は異な り 、 企業独自の機密回路
図情報を保持 し ます。 IBIS バ ッ フ ァ ー モデルは、 測定ま たは回路シ ミ ュ レーシ ョ ンのいずれかに よ っ て生成 さ れた
V/I 曲線デー タ に基づいてい ます。
IBIS モデルは、 各 IOB 規格に対 し て構築 さ れます。 IBIS フ ァ イ ルはデバ イ ス に含まれ る すべての I/O 規格の IBIS モ
デルの コ レ ク シ ョ ン です。 IBIS フ ァ イ ルには、 デバ イ ス で使用 さ れ る 、 特定の I/O 規格を サポー ト す る ために コ ン
フ ィ ギ ュ レーシ ョ ン さ れた IOB にボ ンデ ィ ン グ さ れてい る ピ ンの リ ス ト も 含まれます。 こ の リ ス ト は、 ピ ン と 特定の
IBIS バ ッ フ ァ ー モデルを関連付け ます。
IBIS 規格では出力情報フ ァ イ ルの形式が指定 さ れ ます。 こ れには、 フ ァ イ ル ヘ ッ ダー セ ク シ ョ ン と コ ンポーネ ン ト
詳細セ ク シ ョ ンが含まれます。結果の IBIS モデル フ ァ イ ルの構文が IBIS デー タ 形式に準拠 し てい る か ど う かを検証
する ため、 IBIS オープン フ ォー ラ ム グループ (www.eda.org/ibis) に よ り Golden Parser が開発 さ れてい ます。
Vivado® IDE で IBIS モデルを エ ク ス ポー ト する と 、 .ibs フ ァ イ ルが出力 さ れます。 こ の フ ァ イ ルには、 デザ イ ンで使
用 さ れ る ピ ンの リ ス ト 、 それ ら の ピ ンに接続 さ れ る デバ イ ス内部の信号、 ピ ンに接続 さ れた IOB の IBIS バ ッ フ ァ ー
モデルな ど が含まれます。
IBIS モデルのエ ク スポー ト
PCB 設計では、 シ ス テ ム レベルのシ グナル イ ン テ グ リ テ ィ の理解を深め る ため、 IBIS モデルを使用 し たデザ イ ンの
シ ミ ュ レーシ ョ ンが必要な場合が よ く あ り ます。 ク ロ ス ト ー ク 、 グ ラ ン ド バ ウ ン ス、 SSN な ど のシグナル イ ン テ グ
リ テ ィ の問題について考慮する 必要があ り ます。 IBIS モデルを使用す る と 、 パ ッ ケージ デバ イ ス の I/V カーブお よ び
寄生情報な ど の特性化に役立ち ます。
ヒ ン ト : 汎用 IBIS モデルは、 ザ イ リ ン ク ス ウ ェ ブサ イ ト のダ ウ ン ロ ー ド ページか ら ダ ウ ン ロ ー ド で き ます。
Vivado IDE か ら は、 デザ イ ンお よ びピ ン ご と のパ ッ ケージ デー タ か ら IBIS モデルを生成で き ま す。 Vivado IDE は、
デザ イ ンのネ ッ ト リ ス ト お よ び イ ンプ リ メ ン テーシ ョ ンの詳細を使用 し 、 その情報 と ピ ン ご と の寄生パ ッ ケージ情報
を統合 し て、 そのデザ イ ン専用のカ ス タ ム IBIS モデルを作成 し ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
77
第 7 章 : シ ステム設計者 と の情報交換
デザ イ ン解析に使用する IBIS フ ァ イ ルを エ ク ス ポー ト する には、 エ ラ ボ レー ト 済みデザ イ ン、 合成済みデザ イ ン、 ま
たは イ ンプ リ メ ン ト 済みデザ イ ンが開いてい る 状態で次を実行 し ます。
1.
[File] → [Export] → [Export IBIS Model] を ク リ ッ ク し ます。
2.
[Export IBIS Model] ダ イ ア ロ グ ボ ッ ク ス (図 7-2) で次のオプシ ョ ン を指定 し 、 [OK] を ク リ ッ ク し ます。
°
[Output File] : 出力 さ れ る IBIS フ ァ イ ルの フ ァ イ ル名 と パ ス を指定 し ます。
°
[Include all models] : デバ イ ス で使用可能な I/O バ ッ フ ァ ー モデルをすべて含みます。 デフ ォル ト では、 デザ
イ ンで使用 さ れ る バ ッ フ ァ ー モデルのみが含まれます。
°
°
°
[Disable per pin modeling] : パ ッ ケージの ピ ン ご と のモデル記述を含めない よ う に し ます。 こ れは、 デバ イ ス
のダ イ パ ッ ド か ら パ ッ ケージ ピ ン ま でのパ ス です。 オ フ にす る と 、 パ ッ ケージは、 すべての ピ ン に適用 さ
れ る 1 つの RLC 伝送 ラ イ ン モデルに削減 さ れ、 IBIS フ ァ イ ルの [Package] セ ク シ ョ ンで定義 さ れます。
[Maximum length of signal names] : 信号名の長 さ を指定 し た文字数に制限 し 、 それ よ り 長い場合は切 り 捨て
ます。
-
[40] : 信号名の長 さ が IBIS バージ ョ ン 4.2 でサポー ト さ れ る 40 文字ま でに制限 さ れます (デフ ォル ト )。
-
[20] : 信号名の長 さ が 20 文字ま でに制限 さ れます。
-
[Unlimited] : 信号名の長 さ に制限はあ り ません。
[Updated generic IBIS model file] : デバ イ ス用の IBIS モデル フ ァ イ ルを指定 し ます (オプシ ョ ン)。 こ の フ ァ イ
ルが、 イ ン ス ト ール デ ィ レ ク ト リ の parts デ ィ レ ク ト リ に含まれ る IBIS モデルの代わ り に使用 さ れます。
重要 : イ ン ス ト ールに IBIS モデルが含まれないデバ イ ス を使用す る 場合は、 こ こ で IBIS モデル フ ァ イ ルを指定す る
必要があ り ます。
°
[Updated parasitic package data file] : ピ ン ご と の抽出に使用す る 寄生パ ッ ケージ フ ァ イ ル (.pkg) を指定 し ます
(オプシ ョ ン)。 こ の フ ァ イ ルが、 イ ン ス ト ール デ ィ レ ク ト リ の parts デ ィ レ ク ト リ に含ま れ る 寄生パ ッ ケー
ジ フ ァ イ ルの代わ り に使用 さ れます。
重要 : イ ン ス ト ールに IBIS モデルが含ま れないデバ イ ス を使用す る 場合は、 こ こ で寄生パ ッ ケージ フ ァ イ ルを指定
する 必要があ り ます。
°
[Component Name] : デフ ォ ル ト 値 (デバ イ ス フ ァ ミ リ ) を変更す る 新 し い コ ン ポーネ ン ト 名を指定 し ます (オ
プシ ョ ン)。
X-Ref Target - Figure 7-2
図 7-2 : [Export IBIS Model] ダ イ ア ログ ボ ッ ク ス
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
78
第 7 章 : シ ステム設計者 と の情報交換
PCB デザイ ン と のイ ン タ ー フ ェ イ ス
Vivado ピ ン プ ラ ンナー ツールを使用す る と 、 効率的に ピ ン割 り 当て を選択で き ます。 正 し い リ ソ ース を選択す る こ
と で、 デザ イ ン プ ロ セ ス が よ り 高速で簡潔な も の と な り ます。 こ のセ ク シ ョ ンの推奨事項に従 う と 、 ボー ド レ イ ア
ウ ト 、 ピ ン割 り 当て、 FPGA リ ソ ース の競合を回避で き ます。 PCB お よ びピ ン プ ラ ン ニ ン グの詳細は、 該当す る デバ
イ ス の PCB デザ イ ン ガ イ ド [参照 22] を参照 し て く だ さ い。
パーツの互換性
第 2 章の 「互換性のあ る 代替デバ イ ス の指定」 に説明 さ れてい る よ う に、 互換性のあ る 代替デバ イ ス を設定 し ます。
選択 さ れ た すべ て の代替デバ イ ス 間で共通の ピ ン が特定 さ れ、 すべ て のデバ イ ス に共通で な い ピ ン に対 し て は
PROHIBIT 制約が設定 さ れ る ので、 こ れ ら の ピ ンが使用 さ れ る 可能性はあ り ません。 詳細は、 タ ーゲ ッ ト デバ イ ス の
製品表を参照 し て く だ さ い。
DRC
I/O 割 り 当ての全体的な整合性をチ ェ ッ ク す る には、 第 6 章の 「DRC の実行」 で説明す る よ う に DRC を実行 し ます。
ボー ド プ ラ ン ニ ン グの前に、 DRC で レ ポー ト さ れ る すべての警告お よ びエ ラ ーを修正 し てお く こ と が重要です。
SSN 解析
発生す る 可能性のあ る ノ イ ズ を予測す る には、 第 6 章の 「SSN 解析」 で説明す る よ う に SSN 解析を実行 し ます。 ノ
イ ズ関連の問題は、 ボー ド プ ラ ン ニ ン グ の前に解決 し てお く こ と を お勧め し ま す。 詳細は、 該当す る デバ イ ス の
SelectIO リ ソ ース ユーザー ガ イ ド [参照 12] お よ び メ モ リ リ ソ ース ユーザー ガ イ ド [参照 17] を参照 し て く だ さ い。
IBIS シ ミ ュ レーシ ョ ン
IBIS シ ミ ュ レーシ ョ ン を実行する には、 「IBIS モデルの生成」 で説明す る よ う に、 Vivado IDE で生成 さ れた IBIS フ ァ
イ ルを使用 し ます。
CSV のエ ク スポー ト
DRC お よ び SSN 解析を実行 し た ら 、 「I/O ピ ン と パ ッ ケージ デー タ のエ ク ス ポー ト 」 で説明す る よ う に CSV フ ァ イ
ルを エ ク ス ポー ト し 、 ボー ド プ ラ ン ニ ン グに使用 し ます。
サポー ト さ れるサー ド パーテ ィ の PCB ツール
ボー ド 全体の環境で I/O 割 り 当て を最適化す る Cadence 社 Allegro FPGA System Planner お よ び Mentor Graphics 社 I/O
Designer も サポー ト さ れてい ます。 詳細は、 該当する サー ド パーテ ィ ツールの資料を参照 し て く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
79
付録 A
UltraScale デバイ ス メ モ リ IP の I/O 割 り 当て
の移行
概要
Vivado® Design Suite 2015.1 では、UltraScale™ デバ イ ス メ モ リ IP の I/O 割 り 当てはネ ッ ト リ ス ト ま たはエ ラ ボ レー ト
済みデザ イ ンで実行 さ れます。 2014.x UltraScale デバ イ ス メ モ リ IP を 2015.x にア ッ プグ レー ド す る 場合、 次の手順を
実行 し て メ モ リ IP の既存の I/O 割 り 当て を最上位 XDC フ ァ イ ルに移行す る 必要があ り ます。 変更の概要は、 第 4 章
の 「UltraScale デバ イ ス メ モ リ IP の I/O プ ラ ン ニ ン グ デザ イ ン フ ロ ーの変更」 を参照 し て く だ さ い。
ビデオ : 詳細は、 Vivado Design Suite ビデオ チ ュ ー ト リ アル : UltraScale メ モ リ IP の 2015.1 への移行を参照 し て く だ
さ い。
注記 : IP を ア ッ プグ レー ド せずに、 2015.x デザ イ ン で 2014.x バージ ョ ンの IP を使用 し 続け る こ と も で き ます。 こ の
場合 IP を カ ス タ マ イ ズ し 直す こ と はで き ませんが、 デザ イ ン を イ ンプ リ メ ン ト する こ と は可能です。
プ ロ ジ ェ ク ト フ ローを使用 し た UltraScale デバイ ス
メ モ リ IP の移行
1.
移行の前に、 2014.x でザ イ リ ン ク ス の コ ピーを作成 し て く だ さ い。 こ れには、 IP を コ ン フ ィ ギ ュ レーシ ョ ンす る
のに使用 し た Manage IP プ ロ ジ ェ ク ト も 含まれます。
注記 : Manage IP フ ロ ーの詳細は、 『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 5] の
「[Manage IP] プ ロ ジ ェ ク ト の使用」 を参照 し て く だ さ い。
2.
2015.x Vivado ツールで 2014.x プ ロ ジ ェ ク ト を開 き 、[OK] を ク リ ッ ク し てプ ロ ジ ェ ク ト を ア ッ プグ レー ド し ます。
3.
[Tools] → [Report] → [Report IP Status] を ク リ ッ ク し 、 メ モ リ IP を ア ッ プデー ト し て出力フ ァ イ ルを生成 し ます。
4.
[Sources] ウ ィ ン ド ウ で [IP Update Log] を展開 し 、 ア ッ プグ レー ド ロ グ フ ァ イ ルを ダブル ク リ ッ ク し て開 き ます
(図 A-1)。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
80
付録 A : UltraScale デバイ ス メ モ リ IP の I/O 割 り 当ての移行
X-Ref Target - Figure A-1
図 A-1 : ア ッ プグ レー ド ログ フ ァ イルの確認
5.
ア ッ プグ レー ド ロ グ フ ァ イ ルに記述 さ れてい る メ モ リ IP の I/O を移行する 手順 (図 A-2) を読みます。
a.
IP ア ッ プグ レー ド プ ロ セ ス に よ り MIG IP デ ィ レ ク ト リ に <mig_ip_name>_upgrade.xdc フ ァ イ ルが作
成 さ れ、 適用範囲が IP モジ ュ ールに限定 さ れた I/O 制約が含まれます。
b.
2015.1 リ リ ース では、 I/O バ ッ フ ァ ーは メ モ リ IP の sys_rst ポー ト の一部ではな く な っ てお り 、 ポー ト を
デザ イ ンのほかの リ セ ッ ト と 共有 し やす く な っ てい ます。 ロ グ フ ァ イ ルには、 2014.x デザ イ ンのポー ト に使
用 さ れていた値が示 さ れます。 手順 9 で説明 さ れてい る よ う に、 移行 し たデザ イ ン で sys_rst ポー ト を割
り 当て直す こ と がで き ます。
X-Ref Target - Figure A-2
図 A-2 : ログ フ ァ イルに含まれる I/O ポー ト の移行に関する情報
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
81
付録 A : UltraScale デバイ ス メ モ リ IP の I/O 割 り 当ての移行
6.
移行 し たエ ラ ボ レー ト 済みデザ イ ン ま たは合成済みデザ イ ン を開 き ます。
7.
次の Tcl コ マ ン ド を使用 し て、 各 メ モ リ コ ン ト ロー ラ ー IP の適用範囲が限定 さ れた I/O 制約をデザ イ ンに イ ン
ポー ト し ます。
read_xdc -cell <mig_instance_name> -file <mig_ip_name>_upgrade.xdc
こ れに よ り 、 IP の適用範囲が限定 さ れた制約が メ モ リ に読み込まれます。 こ の XDC フ ァ イ ルは [Sources] ウ ィ ン
ド ウ のア ク テ ィ ブ制約セ ッ ト に も 追加 さ れます。
注記 : こ の XDC フ ァ イ ルを ア ク テ ィ ブ制約セ ッ ト に追加 し ない場合は、 read_xdc コ マ ン ド に -no_add オプ
シ ョ ン を使用 し ます。 メ モ リ の制約は、 [Save Constraints] コ マ ン ド を実行す る と タ ーゲ ッ ト 制約フ ァ イ ルに保存
さ れます。 複数の制約フ ァ イ ルを使用す る か、 すべて を最上位フ ァ イ ルに保存で き ます。
8.
メ モ リ の制約を タ ーゲ ッ ト 制約フ ァ イ ルに保存す る には、 [File] → [Save Constraints] を ク リ ッ ク し ます。
こ れに よ り IP セル レベルの制約がデザ イ ンの最上位に伝搬 さ れ、 XDC フ ァ イ ルの最上位制約 と し て表示 さ れま
す。 ポー ト 名の変更は、 こ のプ ロ セ ス の一部 と し て自動的に組み込まれます。
9.
オプシ ョ ンで、 [I/O Ports] ウ ィ ン ド ウ で sys_rst ポー ト を割 り 当て直 し ます (図 A-3)。
a.
[Show Search] ボ タ ン
b.
IP ア ッ プグ レー ド ロ グ フ ァ イ ルに説明 さ れてい る よ う に PACKAGE_PIN お よ び IOSTANDARD プ ロ パテ ィ
を設定 し ます。
を ク リ ッ ク し 、 sys_rst ポー ト を検索 し ます。
X-Ref Target - Figure A-3
図 A-3 : sys_rst ポー ト の再割 り 当て
10. 第 6 章の 「DRC の実行」 で説明する よ う に DRC を実行 し 、 すべての I/O 規則に従っ てい る こ と を確認 し ます。
11. [File] → [Save Constraints] を ク リ ッ ク し て sys_rst の変更を保存 し ます。
12. デザ イ ン を イ ンプ リ メ ン ト し ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
82
付録 A : UltraScale デバイ ス メ モ リ IP の I/O 割 り 当ての移行
非プ ロ ジ ェ ク ト フ ローを使用 し た UltraScale デバイ
ス メ モ リ IP の移行
UltraScale メ モ リ IP を含む既存の非プ ロ ジ ェ ク ト デザ イ ン を 2015.x に移行す る には、 次の手順に従い ます。 こ れ ら の
手順は基本的に 「プ ロ ジ ェ ク ト フ ロ ーを使用 し た UltraScale デバ イ ス メ モ リ IP の移行」 と 同 じ ですが、 Tcl コ マン ド
を使用 し ます。
1.
移行の前に、 2014.x でザ イ リ ン ク ス の コ ピーを作成 し て く だ さ い。 こ れには、 IP を コ ン フ ィ ギ ュ レーシ ョ ンす る
のに使用 し た Manage IP プ ロ ジ ェ ク ト も 含まれます。
注記 : Manage IP フ ロ ーの詳細は、 『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896) [参照 5] の
「[Manage IP] プ ロ ジ ェ ク ト の使用」 を参照 し て く だ さ い。
2.
2015.x Vivado ツールで 2014.x プ ロ ジ ェ ク ト を開き 、 [OK] を ク リ ッ ク し てプ ロ ジ ェ ク ト を ア ッ プグ レー ド し ます。
3.
[Tools] → [Report] → [Report IP Status] を ク リ ッ ク し 、 メ モ リ IP を ア ッ プデー ト し て出力フ ァ イ ルを生成 し ます。
4.
[Sources] ウ ィ ン ド ウ で [IP Update Log] を展開 し 、 ア ッ プグ レー ド ロ グ フ ァ イ ルを ダブル ク リ ッ ク し て開 き ます
(図 A-1)。
5.
ア ッ プグ レー ド ロ グ フ ァ イ ルに記述 さ れてい る メ モ リ IP の I/O を移行する 手順 (図 A-2) を読みます。
a.
IP ア ッ プグ レー ド プ ロ セ ス に よ り MIG IP デ ィ レ ク ト リ に <mig_ip_name>_upgrade.xdc フ ァ イ ルが作
成 さ れ、 適用範囲が IP モジ ュ ールに限定 さ れた I/O 制約が含まれます。
b.
2015.1 リ リ ース では、 I/O バ ッ フ ァ ーは メ モ リ IPの sys_rst ポー ト の一部ではな く な っ てお り 、 ポー ト をデ
ザ イ ンのほかの リ セ ッ ト と 共有 し やす く な っ てい ます。 ロ グ フ ァ イ ルには、 2014.x デザ イ ンのポー ト に使用
さ れていた値が示 さ れます。 手順 9 で説明 さ れてい る よ う に、 移行 し たデザ イ ンで sys_rst ポー ト を割 り
当て直す こ と がで き ます。
6.
移行 し たエ ラ ボ レー ト 済みデザ イ ン ま たは合成済みデザ イ ン を開 き ます。
7.
次の Tcl コ マ ン ド を使用 し て、 各 メ モ リ コ ン ト ロー ラ ー IP の適用範囲が限定 さ れた I/O 制約をデザ イ ンに イ ン
ポー ト し ます。
read_xdc -cell <mig_instance_name> -file <mig_ip_name>_upgrade.xdc
こ れに よ り 、 IP の適用範囲が限定 さ れた制約が メ モ リ に読み込ま れます。 メ モ リ の制約は、 write_xdc コ マ ン
ド を実行す る と 保存 さ れます。
8.
次の Tcl コ マン ド を使用 し て、 IP セル レベルの制約をデザ イ ンの最上位に伝搬 し 、 フ ァ イ ルを出力 し ます。
write_xdc
適用範囲が限定 さ れた制約が XDC フ ァ イ ルの最上位制約 と し て表示 さ れます。 ポー ト 名の変更は、 こ のプ ロ セ
ス の一部 と し て自動的に組み込まれます。
9.
次の Tcl コ マン ド を使用 し 、 IP ア ッ プグ レー ド ロ グ フ ァ イ ルに説明 さ れてい る よ う に、 出力 XDC フ ァ イ ルを編
集 し て sys_rst ポー ト の PACKAGE_PIN お よ び IO_STANDARD プ ロ パテ ィ を含め ます。
set_property PACKAGE_PIN <pin#> [get_ports sys_rst]
set_property IOSTANDARD <io_std> [get_ports sys_rst]
編集 し た XDC フ ァ イ ルがデザ イ ンの メ イ ンの XDC フ ァ イ ル と な り 、 こ の後の イ ンプ リ メ ン テーシ ョ ン run で使
用 さ れます。
10. 第 6 章の 「DRC の実行」 で説明する よ う に DRC を実行 し 、 すべての I/O 規則に従っ てい る こ と を確認 し ます。
11. デザ イ ン を イ ンプ リ メ ン ト し ます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
83
付録 B
CSV フ ァ イル形式の I/O ポー ト リ ス ト の使用
CSV フ ァ イル
CSV フ ァ イ ルは、 FPGA やボー ド 設計で、 デバ イ ス ピ ンお よ びピ ン配置のデー タ を交換す る ために使用 さ れ る 標準
フ ァ イ ル形式です。 詳細は、 第 3 章の 「CSV フ ァ イ ルの イ ン ポー ト 」 お よ び第 7 章の 「I/O ピ ン と パ ッ ケージ デー タ
のエ ク ス ポー ト 」 を参照 し て く だ さ い。
次に、CSV の列を説明 し ます。各プ ロ パテ ィ の詳細は、『Vivado Design Suite プ ロ パテ ィ リ フ ァ レ ン ス ガ イ ド 』 (UG912)
[参照 9] を参照 し て く だ さ い。
•
[I/O Bank] : ピ ンが配置 さ れ る I/O バン ク を指定 し ます。 デバ イ ス のすべての ピ ンに対 し て、 ツールに よ り デー タ
が自動挿入 さ れます。 値は数値ま たは空白です。 入力 CSV フ ァ イ ルでは必須の フ ィ ール ド ではあ り ません。
•
[Pin Number] : パ ッ ケージ ピ ンの名前ま たは場所を指定 し ます。 デバ イ ス のすべての ピ ンに対 し て、 ツールに よ
り デー タ が自動挿入 さ れます。 入力フ ァ イ ルで必須の フ ィ ール ド ではあ り ません。 入力で使用 さ れ る 場合は、 配
置を定義する ために使用 さ れます。 値はデバ イ ス の有効な ピ ンです。
•
[IOB Alias] : パ ッ ケージ ピ ンの代替パーツ名を指定 し ます。 ツールに よ り 指定 さ れ、 入力 CSV フ ァ イ ルで指定 さ
れてい る 場合は使用 さ れません。
•
[Site Type] : デバ イ ス デー タ シー ト か ら の ピ ン名を指定 し ます。 ツールに よ り 指定 さ れ、 入力 CSV フ ァ イ ルで指
定 さ れてい る 場合は使用 さ れません。
•
[Min Trace Delay (ps)]/[Max Trace Delay (ps)] : ダ イ のパ ッ ド サ イ ト と パ ッ ケージのボール間の距離を ピ コ 秒 (ps) で
指定 し ます。 ツールに よ り 指定 さ れ、 ボー ド 設計時に ト レース遅延を一致 さ せ る ために使用 し ます。 ま た、 こ れ
ら の フ ィ ール ド は出力フ ァ イ ルのみに含まれてお り 、 入力フ ァ イ ルには含まれてい ません。
•
[Trace Length (um)] : パ ッ ケージ ピ ン と ダ イ パ ッ ド 間の内部 ト レース長を指定 し ます。
•
[Prohibit] : 禁止サ イ ト を指定 し ます。 一部のサ イ ト は、 ユーザー I/O がサ イ ト に追加 さ れない よ う 禁止で き ます。
次に例を示 し ます。
°
ボー ド レ イ ア ウ ト の問題を緩和す る ため
°
信号間の ク ロ ス ト ー ク を削減する ため
°
同 じ パ ッ ケージの複数の FPGA 間でピ ン配置を有効にす る ため
注記 : XDC フ ァ イ ルでは、 こ れは PROHIBIT プ ロ パテ ィ と し て記述 さ れます。
•
[Interface] : ユーザー I/O のセ ッ ト をユーザーが指定 し てグループ化 し ます。 た と えば、 メ モ リ イ ン タ ーフ ェ イ ス
のデー タ、 ア ド レ ス、 お よ び イ ネーブル信号の関係を指定で き ます。 値は文字列ま たは空白です。
•
[Signal Name] : FPGA デザ イ ンのユーザー I/O 名。 値は文字列、 ま たは割 り 当て ら れていないパ ッ ケージ ピ ンの
場合は空白です。
•
[Direction] : 信号の方向。 値は IN、 OUT、 INOUT、 ま たはユーザー I/O がサ イ ト に割 り 当て ら れていない場合は
空白です。
•
[DiffPair Type] : 差動ペアの ピ ンが N 側ま たは P 側の ど ち ら かを指定 し ます。こ れは差動信号に対 し てのみ使用 さ
れます。 ツールでは、 ピ ン名か ら ではな く 、 こ の列の情報を使用 し て ど の ピ ンが N 側で ど の ピ ンが P 側かを判断
し ます。 値は P、 N、 ま たはユーザー I/O がサ イ ト に割 り 当て ら れていない場合は空白です。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
84
付録 B : CSV フ ァ イル形式の I/O ポー ト リ ス ト の使用
•
[DiffPair Signal] : 差動ペアの も う 1 つのピ ン名を指定 し ます。値はユーザー I/O 名、ま たは未使用の場合は空白です。
•
[IO Standard] : 特定ユーザー I/O の I/O 規格を指定 し ます。 こ の フ ィ ール ド がユーザー I/O に対 し て空白の場合、
該当す る デバ イ ス のデフ ォ ル ト 値が使用 さ れます。 値は有効な I/O 規格、 ま たは空白です。
•
[Drive] : ユーザー I/O の I/O 規格の駆動電流。 すべての I/O 規格で駆動電流が指定で き る わけではあ り ません。 こ
の フ ィ ール ド が空白の場合、 デフ ォ ル ト 値が使用 さ れます。 値は数値ま たは空白です。
•
[Slew Rate] : 特定ユーザー I/O の I/O 規格の スルー レー ト を指定 し ます。 すべての I/O 規格で スルー レー ト が指
定で き る わけではあ り ません。こ の フ ィ ール ド が空白の場合、デフ ォ ル ト 値が使用 さ れます。値は FAST、MEDIUM
(UltraScale™ デバ イ ス のみ)、 お よ び SLOW です。
•
[OUTPUT_IMPEDANCE] (UltraScale デバ イ ス のみ) : HSTL、 SSTL、 HSUL、 LVDCI、 HSLVDCI、 お よ び POD ド ラ
イ バーの ド ラ イ バー イ ン ピ ーダ ン ス を 駆動 さ れ る ラ イ ン の特性 イ ン ピ ーダ ン ス に一致す る よ う 指定 し ま す。
OUTPUT_IMPEDANCE 属性は、 サポー ト さ れ る 規格の DCI バージ ョ ンお よ び非 DCI バージ ョ ンの両方に対 し 、
ド ラ イ バーでの ソ ース終端値を定義 し ます。
•
[PRE_EMPHASIS] (UltraScale デバ イ ス のみ) : 一部の I/O 規格でプ リ エン フ ァ シ ス を可能に し 、 シ ン ボル間の干渉
を削減 し 、 伝送 ラ イ ン損失の影響を最小限に抑え る こ と に よ り 、 高周波数信号のシ グナル イ ン テグ リ テ ィ を改善
し ます。
•
[LVDS_PRE_EMPHASIS] (UltraScale デバ イ ス のみ) : LVDS I/O 規格でプ リ エン フ ァ シ ス を可能に し 、 シ ン ボル間
の干渉を削減 し 、 伝送 ラ イ ン損失の影響を最小限に抑え る こ と に よ り 、 高周波数信号のシ グナル イ ン テグ リ テ ィ
を改善 し ます。
•
[Pull Type] : 選択 さ れてい る ポー ト の抵抗の タ イ プを指定 し ます。 ト ラ イ ス テー ト 出力バ ッ フ ァ ー (OBUFT) ま た
は双方向バ ッ フ ァ ー (IOBUF) を使用す る 場合、 出力に弱いプルア ッ プ抵抗、 弱いプルダ ウ ン抵抗、 ま たは ウ ィ ー
ク キーパー回路を付け る こ と がで き ます。 入力バ ッ フ ァ ー (IBUF) を使用す る 場合は、 入力に弱いプルア ッ プ抵
抗ま たは弱いプルダ ウ ン抵抗を付け る こ と がで き ます。
•
[IN_TERM]/[OUT_TERM] : オプシ ョ ンの IN_TERM ま たは OUT_TERM ド ラ イ バーの イ ン ピーダ ン ス プ ロ パテ ィ
を定義 し ます。 ほ と ん ど の場合、 こ の フ ィ ール ド は空白の ま ま に し ます。 製品デバ イ ス では ま だサポー ト さ れて
い ません。 こ の終端定義を使用す る と 、 SLEW お よ び DRIVE STRENGTH プ ロ パテ ィ が上書 き さ れます。 SSN の
計算ではサポー ト さ れません。
•
[DIFF_TERM] : ビル ト イ ンの差動終端を オン/オ フ に し ます。
•
[OFFCHIP_TERM] : I/O の外部ボー ド レベルの終端を指定 し ます。 SSN の計算ではサポー ト さ れません。 こ の
フ ィ ール ド を空白の ま ま にす る と 、 SSN の計算に予測 さ れ る 終端が使用 さ れ、 こ の終端がデフ ォ ル ト で SSN レ
ポー ト お よ び [I/O Ports] ウ ィ ン ド ウ に表示 さ れます。
注記 : 予測 さ れ る 終端 と その ツールでの表示名は、 該当す る デバ イ ス の Select IO リ ソ ー ス ユーザー ガ イ ド [参
照 12] を参照 し て く だ さ い。
•
[Board Signal] : ボー ド レベル デザ イ ンか ら I/O に入力 さ れ る 信号の名前を指定 し ます。
•
[Board Voltage] : ボー ド レベル デザ イ ンか ら I/O に入力 さ れ る 信号の電圧レベルを定義 し ます。
重要 : Vivado® ツールでは、 CSV フ ァ イ ルを読み込む際、 定認識 さ れない列はユーザー定義列 と し て保持 さ れ、 [I/O
Ports] ウ ィ ン ド ウ に表示 さ れます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
85
付録 B : CSV フ ァ イル形式の I/O ポー ト リ ス ト の使用
CSV フ ァ イルでの差動ペア
CSV フ ァ イ ルで差動ペア を定義す る プ ロ パテ ィ は複数あ り ます。
•
[Signal Name]
•
[DiffPair Signal]
•
[DiffPair Type]
•
[I/O Standard]
CSV フ ァ イ ルのそれ以外の値は、 差動ペア を検証す る ために使用 さ れ、 互換性のあ る も のであ る こ と が確認 さ れます
が、 ペア を定義す る ためには使用 さ れません。 CSV フ ァ イ ルでは、 差動ペア を次の方法で定義 し ます。
•
2 つのポー ト で差動ペア を定義 : 差動ペア を構成す る 2 つの信号を直接定義 し ます。 2 つのポー ト エン ト リ で、
[DiffPair Signal] にお互いの [Signal Name] の値を指定 し 、 [DiffPair Type] で一方に N、 も う 一方に P を指定 し ます。
ツールで差動ペア を作成す る 際、 [I/O Standard] な ど のほかのプ ロ パテ ィ に互換性があ る か ど う かがチ ェ ッ ク さ れ
ます。
•
1 つのポー ト のみで差動ペア を リ ン ク : 2 つのポー ト エン ト リ の [DiffPair Type] で一方に N、 も う 一方に P を指定
し てい ますが、 1 つのポー ト でのみ [DiffPair Signal] に も う 一方の [Signal Name] の値を指定 し ます。 こ の場合、 ほ
かのすべてのプ ロ パテ ィ に互換性があれば、 差動ペアが作成 さ れます。
•
差動ペアの 1 つのポー ト のみを指定 : 1 つのポー ト エン ト リ で、 [I/O Standard] に差動規格、 [DiffPair Type] に値を
指定 し 、 [DiffPair Signal] には CSV フ ァ イ ルに含ま れない信号を指定 し ます。 こ の場合、 こ のポー ト エ ン ト リ の
プ ロ パテ ィ に一致す る 差動ペアの も う 一方が ツールに よ り 作成 さ れます。
•
差動ペア を推論 : 2 つのポー ト エン ト リ で、DIFF_HSTL、DIFF_SSTL な ど の差動 I/O 規格を指定 し 、[Signal Names]
に N 側 と P 側が推論 さ れ る よ う な名前を指定 し ます。 こ の場合、 すべてのプ ロ パテ ィ に互換性があれば、 差動ペ
アが推論 さ れます。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
86
付録 C
その他の リ ソ ースお よび法的通知
ザイ リ ン ク ス リ ソ ース
ア ンサー、 資料、 ダ ウ ン ロ ー ド 、 フ ォー ラ ム な ど のサポー ト リ ソ ースは、 ザ イ リ ン ク ス サポー ト サ イ ト を参照 し て
く だ さ い。
ソ リ ュ ーシ ョ ン セ ン タ ー
デバ イ ス、 ツール、 IP のサポー ト については、 ザ イ リ ン ク ス ソ リ ュ ーシ ョ ン セ ン タ ーを参照 し て く だ さ い。 デザ イ
ン ア シ ス タ ン ト 、 デザ イ ン ア ド バ イ ザ リ 、 ト ラ ブルシ ュ ーテ ィ ン グの ヒ ン ト な ど が含まれます。
参考資料
注記 : 日本語版のバージ ョ ンは、 英語版 よ り 古い場合があ り ます。
1.
『UltraFast™ 設計手法ガ イ ド (Vivado® Design Suite 用)』 (UG949 : 英語版、 日本語版)
2.
『Vivado Design Suite ユーザー ガ イ ド : デザ イ ン フ ロ ーの概要』 (UG892)
3.
『Vivado Design Suite ユーザー ガ イ ド : シ ス テ ム レベル デザ イ ン入力』 (UG895)
4.
『LogiCORE™ IP Clocking Wizard 製品ガ イ ド (PG065)
5.
『Vivado Design Suite ユーザー ガ イ ド : IP を使用 し た設計』 (UG896)
6.
『Vivado Design Suite ユーザー ガ イ ド : 合成』 (UG901)
7.
『Vivado Design Suite ユーザー ガ イ ド : イ ンプ リ メ ン テーシ ョ ン』 (UG904)
8.
『Vivado Design Suite Tcl コ マ ン ド リ フ ァ レ ン ス ガ イ ド 』 (UG835)
9.
『Vivado Design Suite プ ロ パテ ィ リ フ ァ レ ン ス ガ イ ド 』 (UG912)
10. 『7 シ リ ーズ FPGA コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド 』 (UG470 : 英語版、 日本語版)
『UltraScale アーキ テ ク チ ャ コ ン フ ィ ギ ュ レーシ ョ ン ユーザー ガ イ ド 』 (UG570 : 英語版、 日本語版)
11. 『Vivado Design Suite ユーザー ガ イ ド : プ ロ グ ラ ムお よ びデバ ッ グ』 (UG908)
12. 『7 シ リ ーズ FPGA SelectIO™ リ ソ ース ユーザー ガ イ ド 』 (UG471 : 英語版、 日本語版)
『UltraScale アーキ テ ク チ ャ SelectIO リ ソ ース Advance 仕様ユーザー ガ イ ド 』 (UG571 : 英語版、 日本語版)
13. 『Vivado Design Suite ユーザー ガ イ ド : Vivado IDE の使用』 (UG893)
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
87
付録 C : その他の リ ソ ースおよび法的通知
14. 『7 シ リ ーズ FPGA パ ッ ケージお よ びピ ン配置 : 製品仕様』 (UG475 : 英語版、 日本語版)
『UltraScale アーキ テ ク チ ャ のパ ッ ケージお よ びピ ン配置 : 製品仕様ユーザー ガ イ ド 』 (UG575 : 英語版、 日本語版)
『Zynq®-7000 All Programmable SoC パ ッ ケージお よ びピ ン配置 : 製品仕様』 (UG865 : 英語版、 日本語版)
15. 『Zynq-7000 All Programmable SoC テ ク ニ カル リ フ ァ レ ン ス マニ ュ アル』 (UG585 : 英語版、 日本語版)
16. 『7 シ リ ーズ FPGA GTX/GTH ト ラ ン シーバー ユーザー ガ イ ド 』 (UG476 : 英語版、 日本語版)
『UltraScale アーキ テ ク チ ャ GTH ト ラ ン シーバー Advance 仕様ユーザー ガ イ ド 』 (UG576 : 英語版、 日本語版)
17. 『UltraScale アーキ テ ク チ ャ メ モ リ リ ソ ース ユーザー ガ イ ド 』 (UG573 : 英語版、 日本語版)
『Zynq-7000 All Programmable SoC お よ び 7 シ リ ーズ デバ イ ス メ モ リ イ ン タ ーフ ェ イ ス ソ リ ュ ーシ ョ ン ユーザー
ガ イ ド 』 (UG586)
18. 『LogiCORE IP UltraScale アーキ テ ク チ ャ ベース FPGA メ モ リ イ ン タ ーフ ェ イ ス ソ リ ュ ーシ ョ ン製品ガ イ ド 』
(PG150)
19. 『7 シ リ ーズ FPGA ク ロ ッ キ ン グ リ ソ ース ユーザー ガ イ ド 』 (UG472 : 英語版、 日本語版)
『UltraScale アーキ テ ク チ ャ ク ロ ッ キ ン グ リ ソ ース ユーザー ガ イ ド 』 (UG572 : 英語版、 日本語版)
20. 『Vivado Design Suite ユーザー ガ イ ド : Tcl ス ク リ プ ト 機能の使用』 (UG894)
21. 『Vivado Design Suite ユーザー ガ イ ド : 消費電力解析お よ び最適化』 (UG907)
22. 『7 シ リ ーズ FPGA PCB デザ イ ン ガ イ ド 』 (UG483 : 英語版、 日本語版)
『UltraScale アーキ テ ク チ ャ PCB デザ イ ン ユーザー ガ イ ド 』 (UG583 : 英語版、 日本語版)
『Zynq-7000 All Programmable SoC PCB デザ イ ン ガ イ ド 』 (UG933 : 英語版、 日本語版)
23. IBIS オープン フ ォー ラ ム グループ (www.eda.org/ibis)
24. ザ イ リ ン ク ス ダ ウ ン ロ ー ド
25. Vivado Design Suite の資料
ト レーニ ン グ リ ソ ース
ザ イ リ ン ク ス では、本書に含まれ る コ ンセプ ト を説明す る さ ま ざ ま な ト レーニ ン グ コースお よ びオン ラ イ ン ビデオを
提供 し てい ます。 次の リ ン ク か ら 関連す る ト レーニ ン グ リ ソ ース を参照 し て く だ さ い。
1.
Vivado での FPGA 設計導入 ト レーニ ン グ コ ース
2.
Vivado での FPGA 設計実践 ト レーニ ン グ コ ース
3.
Vivado でのア ド バン ス ド FPGA 設計 ト レーニ ン グ コ ース
4.
Vivado Design Suite ビデオ チ ュ ー ト リ アル : I/O 配置の概要
5.
Vivado Design Suite ビデオ チ ュ ー ト リ アル : UltraScale メ モ リ IP の使用
6.
Vivado Design Suite ビデオ チ ュ ー ト リ アル : UltraScale メ モ リ IP の 2015.1 への移行
7.
Vivado Design Suite ビデオ チ ュ ー ト リ アル
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
88
付録 C : その他の リ ソ ースおよび法的通知
法的通知
The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products. To the maximum extent
permitted by applicable law: (1) Materials are made available "AS IS"
and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY,
INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY
PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability)
for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (including your use of the Materials),
including for any direct, indirect, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss
or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been
advised of the possibility of the same. Xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to
the Materials or to product specifications. You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent.
Certain products are subject to the terms and conditions of Xilinx’s limited warranty, please refer to Xilinx’s Terms of Sale which can be viewed at
http://www.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx. Xilinx
products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability
for use of Xilinx products in such critical applications, please refer to Xilinx’s Terms of Sale which can be viewed at
http://www.xilinx.com/legal.htm#tos.
© Copyright 2012-2015 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and other designated brands
included herein are trademarks of Xilinx in the United States and other countries. AMBA, AMBA Designer, ARM, ARM1176JZ-S, CoreSight,
Cortex, and PrimeCell are trademarks of ARM in the EU and other countries. PCI, PCI Express, PCIe, and PCI-X are trademarks of PCI-SIG. All
other trademarks are the property of their respective owners.
こ の資料に関す る フ ィ ー ド バ ッ ク お よ び リ ン ク な ど の問題につ き ま し ては、 [email protected] ま で、 ま たは各ページの
右下にあ る [フ ィ ー ド バ ッ ク 送信] ボ タ ン を ク リ ッ ク す る と 表示 さ れ る フ ォ ーム か ら お知 ら せ く だ さ い。 フ ィ ー ド バ ッ ク は日本語で
入力可能です。 いただ き ま し た ご意見を参考に早急に対応 さ せていただ き ます。 なお、 こ の メ ール ア ド レ ス へのお問い合わせは受
け付け てお り ません。 あ ら か じ めご了承 く だ さ い。
I/O および ク ロ ッ ク プ ラ ン ニ ング
UG899
20152015
年4月
UG899(v2015.1)
(v2015.2)
年1 日
6月
japan.xilinx.com
24 日
89