目が離せない次世代リソグラフィ技術の動向(その2)

目が離せない
次世代リソグラフィ技術の動向(その②)
厚木エレクトロニクス / 加藤 俊夫
本 誌 3 月 号 掲 載 の 本 稿「 そ の ① 」で は、
「DP(Double
量の酸が発生して高分子を切断すると、その反応により酸
Patterning)技術の登場」まで述べた。今回はその続きで
発生材から新たな酸が発生する。100℃程度のPEB(Post
ある。
Exposure Bake、現像後のベーキング)すると、この反応が
加速されて次々に酸発生反応がおこり、露光で酸発生反応
1. フォトレジストも進歩した
が少なくてもパターンニングができる。この様子を図 1 に
フォトレジストの原理は、遥か以前にコダック社が開発
ほとんどすべて化学増幅型になっている。
した時から基本は変わっていない。すわなち、ポジレジス
化学増幅型フォトレジストで注意すべき点として、図 2
トの場合、PMMA(Polymethylmethacrylate)などの高
のようなパターンの変形がある。NH3 ガスの雰囲気では酸
分子が光のエネルギーにより結合が切断され、現像液に
と反応してしまってフォトレジストの化学増幅反応が起こ
溶解することによりパターンが形成される。ネガレジス
らず、図 2 −①のようになる。一方、下地から NH3 が発生す
示す。現在の微細加工に使われているフォトレジストは、
トの場合は、光のエネルギーでモノマ
(Monomer)の架橋反応で重合が起こ
り、現像液に溶解しなくなる。
1. 化学増幅型
実用化されているステッパ(スキャ
ナ)の仕様では、300mm 径のウエハに
対して 200 枚/時のスループットをう
たっている。1 枚のウエハへの露光が
60 ショットと仮定すると(ショット数
はチップサイズにより異なる)、1 ショッ
ト に 要 す る 時 間 は 3600 秒 / 200 ×
図 1 化学増幅型フォトレジスト
60 = 0.3 秒以下となる。このわずかな
時間にチップの移動と位置あわせなど
が必要なので、露光にあてられる時間は
0.1秒ぐらいになる。スキャナの場合は、
この間に露光エリアをなぞっていくわ
けで、単位面積への露光時間はさらに短
い。したがって、露光によって化学反応
が完了することはありえない。この問
題を解決するため化学増幅型フォトレ
ジストが開発された。露光によって少
28
図 2 化学増幅型フォトレジストの異常形状
ると図 2 −④のようになる。下地が SiN 膜の場合は、SiN
チングされる速度の比を選択比と呼んで、一般には 10 以
の CVD で NH3 ガスを用いるが、NH3 ガスが膜中または膜
上が必要であり、できれば 50 以上の比が望ましい。選択
表面に吸着しているので、ベーキングするなどの対策が必
比が小さい場合は、フォトレジストの厚さを厚くしておく
要である。また、室内に NH3 ガスがないように活性炭フィ
必要がある。また、フォトレジストをイオン注入のマスク
ルタを用いるのも一般的に行われている。
に用いる場合も、イオンの加速エネルギーが高い場合は、
微細化をサポートするフォトレジストの開発にあたって、
500nm より厚い場合もある。フォトレジストの厚さが
解像度、LER、感度の 3 つのパラメータはトレードオフの関
200nm で、開口の幅が 20nm だとアスペクト比(Aspect
係にあって、
この 3 つを最適化する努力が行われている。
Ratio:縦と横の比)が 10 となり、フォトレジストは壁のよ
うに立っていることになる。すると図 4 のように、現像後
2. LER(Line Edge Roughness)
の水洗中に水の表面張力で壁のようなフォトレジストが引
Gate length 30nm の MOS を作る時、パターンエッジ
き合って倒れる場合がある。微細化が進むと倒壊現象が顕
のギザギザ、すなわち LER が 5nm あると、両側から 10nm
著になっている。この対策として水に界面活性剤を入れる
も線幅がばらつくことになり、MOS の特性が一定せず設計
ことや、炭酸ガスの超流動を利用することなどが行われて
が困難になる。LER の原因はいろいろあるが、なかでも図
いるが、フォトレジスト自身の下地との密着力の向上も求
3 のようにレジストの高分子自身の大きさが問題といわれ
められている。
ており、これを避けるレジストの開発が行われている(目標
は 2nm)。
4. ハードマスクによるエッチング
MOS のゲート電極などは、リソグラフィの実力以上に微
3. フォトレジストの倒壊
細化されているが、エッチングを過度に行ってサイドエッ
フォトレジストはエッチングのマスクに用いられるが、
チングを起こしてスリムにしている。このために、図 5 の
被エッチング物のエッチング速度とフォトレジストがエッ
ようにハードマスク技術や Si 含有レジストなどが開発され
て い る。DRAM の Trench Capacitor や TSV(Through
Silicon Via)などの深堀エッチングでは、長時間のエッチ
ング中にマスク材のレジストがなくなったり変形したりす
るので、ハードマスクが用いられている。
5. フォトレジストの剥離も意外に難問
フォトレジストは、エッチングのマスクやイオン注入の
マスクとして用いられた後、酸素プラズマのダウンフロー
アッシング(Ashing:灰化)で剥離されるのが一般的であ
る。エッチングにはハロゲン系のプラズマが多く用いられ
図 3 LER の様子
るが、ハロゲンがフォトレジストと反応して酸素プラズマ
図 4 フォトレジストの倒壊
図 5 ハードマスクによるサイドエッチや深堀エッチ
29
で剥離が困難になる場合がある。また、イオン注入のマス
クに用いられる場合、注入量が 10
15 〜 16
/ cm 程度の高濃
2
る。描画時間の短縮にはデータの高速処理が必要で、OPC、
PSM、SMO などの対応が重要になっている。
度になるとフォトレジストが完全に変質してしまい、酸素
このような改善にもかかわらず、1枚のマスクの描画に数
プラズマでは剥離できない。微量のフッ素を入れると剥離
時間もかかるといわれ、マスク価格高騰の一因となってい
できるが、下地の Si や SiO2 をフッ素が侵すので、その兼ね
る。メモリのような単品種多量生産ならマスク代は大きな
合いが難しく、プラズマだけではなく硫酸+過酸化水素液
問題にならないが、ロジック系の多品種少量生産では、コス
のような溶液での剥離も行われ、専用の薬液も市販されて
トに占めるマスク代の割合が非常に大きくなっている。
いる。さらに下地がポーラス膜では、フォトレジスト剥離
Cr 膜のエッチングには、長らく溶液(硝酸第 2 セリウムア
中に下地が変質してしまうなどの対策は簡単でなく、各社
ンモニウム系など)で行われてきたが、最近は CCl4 / O2 プ
のノウハウとなっている。
ラズマによるエッチングが一般化してきた。
マスク製作に電子ビームでなくレーザ描画装置も市販
2. マスクの製作、価格暴騰、一式数億円に!
されているが、半導体用としては主流技術ではないと思わ
マスクの製作は、図 6 のような合成石英基板上の Cr 金属
ジ業界などに使われている。さらにつけ加えると、プリン
膜にフォトレジストを塗布したブランクス(Blanks)に電
ト 基 板 な ど に は、投 影 式 の DMD(Digital Micro Mirror
子ビームを照射し、現像し、Cr をエッチングして行われる
Device)などの描画機が用いられている。
れ、高速描画の特徴を生かし、ディスプレー用やパッケー
(Cr に代わって、半透明の Mo / Si 膜も用いられる)
。ブラ
ステッパでは全チップが同一マスクパターンで露光され
ンクスの石英は 6 インチ角で、厚さは自重によるたわみや
るため、万一、マスクに欠陥があると、生産した全チップが
パターンの変形が絶対に起こらないように 6.35mm と非
不良になる恐れもある。そこで、図 7 のようにマスクにダ
常に厚くなっている。
ストが載らないようにペリクル(Pellicle:薄膜)を張って
同図に示した電子ビームの描画装置の電子ビームはナノ
いる。ペリクルは、市販されている食品用ラップフィルム
メーターまで細く絞れるので、チップの端から一筆書きの
のような薄い透明膜で、この上に載っているごみの像は、同
ように走査すればどんなパターンも描ける。(これをラス
図右のようにフォトレジスト中では結像せずウエハ上のパ
タースキャン(Raster Scan)と呼んでいる)。
ターンに影響しない。
ただし、非常に描画時間が掛かるので現在はほとんど用
いられなくなり、代わって長方形のスリットを組み合わせ
てアパーチャ(Aperture:開口)を形成しビームを通す可
変成形ベクタスキャン(Vector Scan)が用いられている。
これまで液浸 ArF スキャナに各種の超解像技術を駆使し
さらに、特定の形状のステンシル(Stencil:穴開きの板)を
て 20nm 程度のパターンまで微細化されてきたが、この先
設ける CP(Character Projection)方式が一般化してい
も 10nm に向かって開発が進められる。いろいろな技術が
図 6 マスクを描画する電子ビーム装置
30
3. フォトリソグラフィの将来技術
図 7 ペリクルでマスク上のゴミの影響をなくする
検討されているので、以下に順に見て行くことにする。
程度あれば十分なスループットが確保される。現状では数
十 W 程度しか達成できていないので、さらなる開発が待た
1. ArF ステッパ/スキャナでどこまで微細化可能か
れている。
実現可能な最少パターンは、露光波長程度といわれたの
EUV 光の波長は X 線に近く、透過型のレンズを作ること
が夢のようで、ArF エキシマの波長(193nm)の 1/10 の
ができず反射型となる。反射膜には Mo / Si を数 nm ず
20nm が実現されている。常に限界を突破するのが半導体
つ 80 層も積層した膜が用いられ、反射率は最高で 70 %で
技術であるが、この先はどうなるか?
ある。レンズ系は図 8 のように 10 枚以上必要であり、仮
まず考えられるのは、DP(Double Pattern)を繰り返し
に 13 枚で反射した時の減衰を計算すると、0.7 の 13 乗=
てさらに微細化する方法である(Quad Patterning と呼
0.01 となり、光の利用率はわずかの 1 %になってしまう。
ばれることもある)。すなわち、DP を 2 度行うと、1/2 ×
この光学系の厄介な問題は、プラズマから発生するデブ
1/2 で 1/4 となり、仮に 40nm の Litho の実力があれば、
リス(Debris;堆積物)が光学系を汚染することで、光源機器
10nm のパターンが得られることになる。現在、まじめに
メーカーではいろいろな技術を開発して一応解決したとし
量産に導入する検討をしているメーカーもある。
ている。
図 9 に示すのは最先端の EUV 露光機であるが、一説では
2. 次ははたして EUV か?
価格は 1 台 100 億円といわれている。もちろん、本格的な
露光波長は ArF エキシマレーザ(193nm)から、一挙に波
量産に使うには、数十台設置する必要がある!!!
長 13.5nm の EUV(Extremely Ultra
Violet)に短波長化するステッパが開発
されている。ただし、非常に問題が多く、
はたして実用機がいつ完成するのか業
界の大きな話題となっている。
(1)EUV ステッパとその光源
EUV の 最 大 の 問 題 点 は ス ル ー プ ッ
トが低いことで、光源の出力を高める
ことが要求されている。光源の方式に
は、LPP(Laser Produced Plasma)
と 、D P P( D i s c h a r g e P r o d u c e d
図 8 EUV 光による露光装置の概念図
Plasma)の2種類が検討されているが、
実用化が早いと思われる LPP について
述べる。
す ず(Sn)を プ ラ ズ マ 化 す る と、
13.5nm の軟 X 線が発生するので、こ
れ を 集 光 し て 利 用 し て い る。 図 8 の
ように、数十μm径の Sn(すず)の液
滴を連続的に供給し、YAG レーザで膨
張させてミスト状にし、次いで炭酸ガ
ス レ ー ザ で プ ラ ズ マ 化 す る。 発 光 は
360 度 放 射 さ れ る が、同 図 の よ う に、
半球状のミラーで反射して集光した光
を取り出す。この取り出すところを IF
(Intermediate Focus;入射側結像点)
と呼び、ここの光強度が 250 〜 500W
図 9 ASML 社の最新 EUV 露光機『NXE3300B』
31
(2)EUV マスクの欠陥対策
ンバを開けて洗浄する必要があり、非常に厄介なことにな
EUV 露光機に使われるマスクは、Mo / Si 多層膜の反射
る。脱ガスのないフォトレジスト材料の開発が求められて
膜の上に、遮光パターンとして Ta(タンタル)系の TaBO /
いる。
TaBN などが用いられる。ArF ステッパと同様にウエハに
光増感剤生成で感度アップについては、大阪大学産業科
対して 4 倍マスクである。
学研究所から注目すべき技術が発表されているので紹介し
EUV 用のマスクは、Mo / Si 多層膜の内部に含まれる欠
ておく。図 11 のように 1 回目は EUV 光で露光するが、酸
陥の検出が非常に厄介である。実際にウエハに露光して見
の発生はごく少量である。この時、レジスト中に光増感剤
て検出するしか方法がない、という意見もある。また、ダス
を発生させ、ついでこの増感剤を吸収する波長の光で全面
ト対策でペリクルを用いると EUV 光が 10 %程度は吸収さ
露光すると 10 倍以上の酸が発生する。これにより、大幅な
れ、入射と反射で 20 %ロスが生じる。光源の強度を問題に
高感度化が可能になる。なお、この技術はまだ業界の十分
しているおりから、このロスは痛い。ペリクルなしですま
な評価を受けていないようであるが期待したい。
す、という意見が強いが、はたしてそれで良いものか?
マスクの遮光膜は、数十 nm の Ta 系膜であるが、EUV 光
3. 期待される DSA
の入射角が 6 °程度であるから、図 10 のようにシャドウ効
光や電子ビームをフォトレジストに照射するのとはまっ
果でパターン幅が影響される。設計時からこの効果を考慮
たく異なるメカニズムで微細パターンを作成する DSA が
しておく必要がある。
注目されている。DSA とは、Directed Self Align(境界つ
けされた自己整列)の略で、ポリマ(Polymer、高分子)を塗
(3)フォトレジストの問題
布して加熱すれば微細パターンが得られるという、実に楽
EUV 用のフォトレジストが各社から発表されているが、
しい方法である。ポリマとして、一般に PS(Polystyrene)
20nm 程度のパターンが得られた程度で、10nm が楽に達
と PMMA(Polymethylmethacrylate)の よ う な 水 と 油
成できるにはほど遠い。光源の出力が十分でないので、で
のように相溶性が低く互いに反発するポリマを組み合わ
きるだけフォトレジストの感度を向上させたいが、感度と
せて 1 つのポリマにした、ジブロックコポリマ(Dibrock-
解像度はトレードオフの関係にあるので、両者を満足させ
Copolymer)が用いられる。これを薄く塗布して加熱する
る解は見つかっていないようである。露光機の都合では感
と、図 12 − A のように指紋状に等間隔に並んでくれる。こ
度として 10mJ/cm2 程度が要求されているが、10nm 代
のままでは LSI のパターンにならないが、図 12 − B のよう
のパターンを得るには 30mJ/cm が必
2
要となって実用的にはまだ実力不足であ
る。
さらに、フォトレジストに EUV 光があ
たるとガスが発生するが、化学増幅型レジ
ストでは S(硫黄)が含まれており、このガ
スが光学系ミラーに付着すると真空チャ
図 11 光増感剤で EUV 露光の感度アップする
図 10 EUV 露光で問題になる
遮光膜の厚さによるケラレ
32
図 12 DSA による Line & Space Pattern などの形成
に障壁パターンを作成し、その上に PS - PMMA を塗布・熱
な技術であるが、ばかにしたものではなく、数 nm の超微細パ
処理すると、図 12 - C のように整列した Line & Space の
ターンが押印できる。モールド型を押した後、樹脂を固める
パターンが得られる。比較的ラフなパターンをガイドにし
には光と熱が用いられるが、加熱するとパターン寸法が狂う
て、それより微細なラインが得られ、Line & Space のピッ
ので半導体用には光硬化が用いられる。アメリカの会社か
チはポリマの分子量で決まる。直線状のラインしか得られ
ら LSI 用の装置が発売されているものの、欠陥対策などが難
ていないが、一般の LSI には斜線はほとんどなく、図 12 −
しく量産への実用化はかなり困難と見られているが、果敢に
D のように横線でエッチングすれば LSI のパターンを得る
挑戦する企業もあり、NAND フラッシュメモリなどに使用さ
ことができる。欠陥数を減らすなど、量産導入にはまだ問
れる可能性があるかもしれないので期待したい。
題が山積しているが、2016 年に一部のメモリに使われる
不揮発性メモリでは、フラッシュ・メモリの集積度がどん
という意見もある。まず最初は図 13 に示したコンタクト
どん上がっているが、それ以上の集積度を実現して先行し
ホールに応用される可能性が高い。通常のフォトプロセス
ているのが HDD(Hard Disc Drive)であり、ナノインプリ
で径 60nm のホールをエッチングし、DSA でホールエッジ
ント技術が活用されている。DSA と組み合わせて、10nm
をカバーして径を 20nm にする方法は比較的簡単に量産可
以下の微細な磁気ドットパターンを 1 兆個以上もディス
能だろう。EUV のような高価な装置を必要としないので、
ク上にナノインプリントしている。レーザによる熱アシ
早急な実用化が望まれている。
ストを導入して磁性体の保磁力を下げて記録し、10Tb /
inch2 の声も聞かれて、フラッシュ・メモリごときに追いつ
4. ナノインプリントの出番はあるか?
かれてなるものかと、HDD はドットパターンを微細化して
がんばっている。
図 14 左は、3000 年前のメソポタミア時代に使われたは
んこ(判子)で、柔らかい粘土板の上を転がして王様が押印し
たものである。ナノインプリントも原理はこれと同じであ
る。柔らかい樹脂の上にモールド型を押印するだけの単純
5. 電子ビーム直接描画にも注目
マスクの製作に電子ビームが用いられているが、この装
置をウエハへの描画に用いることができる。電子ビーム
直接描画ではマスクを作る必要がないので、マスクレス露
光(Mask Less Lithography:MLL とか ML2)と呼ばれ、
LSI の設計が終われば、ただちにリソグラフィ工程が行える
ので多品種少量生産などには便利である。ただし、スルー
プットが 1 時間 1 枚にも達しないのでは用途が限られてい
る。そこで、図 15 のような電子ビームを 1 万本以上に分割
してウエハに照射するMulti Beam方式が開発されている。
図 13 DSA でコンタクトホールの開口を微細化する
図 14 ナノインプリントはハンコの原理だが
超微細パターンが可能
図 15 1 万本以上の Multi Beam による描画装置
33
現在の実力では、1 時間 1 枚程度で開発は難航しているよ
見もある。
うに思える。せめて 10 枚 / 時程度になれば、多品種少量生
こ れ ま で の リ ソ グ ラ フ ィ 技 術 の 進 展 と NGL(Next
産用に用いられる可能性があるだろう。ウエハの直接描画
Generation Lithography)技術のまとめを図 16 に示す。
ではないが、マスク製作用に 10nm のビームを 26 万本用
喜寿(77 歳)を迎えた筆者としては、革新的なリソグラフィ
いた装置を開発しているメーカーもある。
技術により 10nm 時代を見てから冥途へ旅立ちできれば幸
いである。
6. さて結論として微細化の将来は?
表 1 は、ITRS(International Technology Roadmap
for Semiconductor)2012 より抜粋したものであるが、
6 年後の 2020 年には 10nm の微細化メモリが生産され
ていることになっている。はたしてどんなリソグラフィ技
術が用いられているのか?
EUV 技術が完成し生産用装置が得られれば、EUV が第
1 候補という意見が多い。ただし、まだまだスループット
が低く、実用化は無理で、ArF ステッパで準備しておくとい
う意見が多いようである。ArF ステッパによる Double や
Quad の Multi Patterning は 工 程 数 が 増 え て 厄 介 だ が、
既存の技術の応用で行えるので生産導入は容易であろう。
ArF か EUV か?筆者も右を見たり左を見たり、どちらに軍
配を上げるか迷っている。
一方、さらに先走っていえば、EUV でも 13.5nm より微
細パターンを得るにはなんらかの超解像技術が要求される
が、EUV の Double Patterning なら 10nm 以下も可能で
あるとか、いっそ 6.5nm の波長の EUV(希土類元素 Gd;ガ
ドリニウムのプラズマで得られる)を開発しようという意
表 1 2012 年 ITRS
図 16 Lithography 技術の変遷と NGL
34